OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_bootloader_image.vhd] - Diff between revs 51 and 53

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 51 Rev 53
Line 17... Line 17...
    00000006 => x"00000393",
    00000006 => x"00000393",
    00000007 => x"00000413",
    00000007 => x"00000413",
    00000008 => x"00000493",
    00000008 => x"00000493",
    00000009 => x"00000713",
    00000009 => x"00000713",
    00000010 => x"00000793",
    00000010 => x"00000793",
    00000011 => x"00002537",
    00000011 => x"00000597",
    00000012 => x"80050513",
    00000012 => x"0cc58593",
    00000013 => x"30051073",
    00000013 => x"30559073",
    00000014 => x"30401073",
    00000014 => x"00002537",
    00000015 => x"80012117",
    00000015 => x"80050513",
    00000016 => x"fc010113",
    00000016 => x"30051073",
    00000017 => x"ffc17113",
    00000017 => x"30401073",
    00000018 => x"00010413",
    00000018 => x"80012117",
    00000019 => x"80010197",
    00000019 => x"fb410113",
    00000020 => x"7b418193",
    00000020 => x"ffc17113",
    00000021 => x"00000597",
    00000021 => x"00010413",
    00000022 => x"0a458593",
    00000022 => x"80010197",
    00000023 => x"30559073",
    00000023 => x"7a818193",
    00000024 => x"f0000593",
    00000024 => x"f0000593",
    00000025 => x"0005a023",
    00000025 => x"0005a023",
    00000026 => x"00458593",
    00000026 => x"00458593",
    00000027 => x"feb01ce3",
    00000027 => x"feb01ce3",
    00000028 => x"80010597",
    00000028 => x"80010597",
Line 83... Line 83...
    00000072 => x"00300413",
    00000072 => x"00300413",
    00000073 => x"00941863",
    00000073 => x"00941863",
    00000074 => x"34102473",
    00000074 => x"34102473",
    00000075 => x"00240413",
    00000075 => x"00240413",
    00000076 => x"34141073",
    00000076 => x"34141073",
    00000077 => x"00012483",
    00000077 => x"00012403",
    00000078 => x"00412403",
    00000078 => x"00412483",
    00000079 => x"00810113",
    00000079 => x"00810113",
    00000080 => x"30200073",
    00000080 => x"30200073",
    00000081 => x"800007b7",
    00000081 => x"800007b7",
    00000082 => x"0007a023",
    00000082 => x"0007a023",
    00000083 => x"fd010113",
    00000083 => x"fd010113",
Line 961... Line 961...
    00000950 => x"6c746f6f",
    00000950 => x"6c746f6f",
    00000951 => x"6564616f",
    00000951 => x"6564616f",
    00000952 => x"3e3e2072",
    00000952 => x"3e3e2072",
    00000953 => x"4c420a0a",
    00000953 => x"4c420a0a",
    00000954 => x"203a5644",
    00000954 => x"203a5644",
    00000955 => x"20626546",
    00000955 => x"2072614d",
    00000956 => x"32203232",
    00000956 => x"32203831",
    00000957 => x"0a313230",
    00000957 => x"0a313230",
    00000958 => x"3a565748",
    00000958 => x"3a565748",
    00000959 => x"00002020",
    00000959 => x"00002020",
    00000960 => x"4b4c430a",
    00000960 => x"4b4c430a",
    00000961 => x"0020203a",
    00000961 => x"0020203a",

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.