OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_bootloader_image.vhd] - Diff between revs 6 and 9

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 9
Line 49... Line 49...
    00000038 => x"01412c23",
    00000038 => x"01412c23",
    00000039 => x"01512a23",
    00000039 => x"01512a23",
    00000040 => x"01612823",
    00000040 => x"01612823",
    00000041 => x"01712623",
    00000041 => x"01712623",
    00000042 => x"01812423",
    00000042 => x"01812423",
    00000043 => x"4fd000ef",
    00000043 => x"4ed000ef",
    00000044 => x"4c1000ef",
    00000044 => x"4c1000ef",
    00000045 => x"429000ef",
    00000045 => x"429000ef",
    00000046 => x"299000ef",
    00000046 => x"299000ef",
    00000047 => x"4d9000ef",
    00000047 => x"4c9000ef",
    00000048 => x"281000ef",
    00000048 => x"281000ef",
    00000049 => x"fc102473",
    00000049 => x"fc102473",
    00000050 => x"026267b7",
    00000050 => x"026267b7",
    00000051 => x"9ff78793",
    00000051 => x"9ff78793",
    00000052 => x"00000713",
    00000052 => x"00000713",
Line 82... Line 82...
    00000071 => x"ffff07b7",
    00000071 => x"ffff07b7",
    00000072 => x"42878793",
    00000072 => x"42878793",
    00000073 => x"30579073",
    00000073 => x"30579073",
    00000074 => x"08000793",
    00000074 => x"08000793",
    00000075 => x"30479073",
    00000075 => x"30479073",
    00000076 => x"455000ef",
    00000076 => x"30046073",
    00000077 => x"00100513",
    00000077 => x"00100513",
    00000078 => x"431000ef",
    00000078 => x"431000ef",
    00000079 => x"00000793",
    00000079 => x"00000793",
    00000080 => x"34079073",
    00000080 => x"34079073",
    00000081 => x"ffff1537",
    00000081 => x"ffff1537",
    00000082 => x"eec50513",
    00000082 => x"edc50513",
    00000083 => x"2ed000ef",
    00000083 => x"2ed000ef",
    00000084 => x"3d0000ef",
    00000084 => x"3d0000ef",
    00000085 => x"ffff1537",
    00000085 => x"ffff1537",
    00000086 => x"f2450513",
    00000086 => x"f1450513",
    00000087 => x"2dd000ef",
    00000087 => x"2dd000ef",
    00000088 => x"fc102573",
    00000088 => x"fc102573",
    00000089 => x"254000ef",
    00000089 => x"254000ef",
    00000090 => x"ffff1537",
    00000090 => x"ffff1537",
    00000091 => x"f2c50513",
    00000091 => x"f1c50513",
    00000092 => x"2c9000ef",
    00000092 => x"2c9000ef",
    00000093 => x"f1402573",
    00000093 => x"f1402573",
    00000094 => x"240000ef",
    00000094 => x"240000ef",
    00000095 => x"ffff1537",
    00000095 => x"ffff1537",
    00000096 => x"f3850513",
    00000096 => x"f2850513",
    00000097 => x"2b5000ef",
    00000097 => x"2b5000ef",
    00000098 => x"30102573",
    00000098 => x"30102573",
    00000099 => x"22c000ef",
    00000099 => x"22c000ef",
    00000100 => x"ffff1537",
    00000100 => x"ffff1537",
    00000101 => x"f4050513",
    00000101 => x"f3050513",
    00000102 => x"2a1000ef",
    00000102 => x"2a1000ef",
    00000103 => x"fc002573",
    00000103 => x"fc002573",
    00000104 => x"218000ef",
    00000104 => x"218000ef",
    00000105 => x"ffff1537",
    00000105 => x"ffff1537",
    00000106 => x"f4850513",
    00000106 => x"f3850513",
    00000107 => x"28d000ef",
    00000107 => x"28d000ef",
    00000108 => x"fc602573",
    00000108 => x"fc602573",
    00000109 => x"ffff14b7",
    00000109 => x"ffff14b7",
    00000110 => x"200000ef",
    00000110 => x"200000ef",
    00000111 => x"f5048513",
    00000111 => x"f4048513",
    00000112 => x"279000ef",
    00000112 => x"279000ef",
    00000113 => x"fc402573",
    00000113 => x"fc402573",
    00000114 => x"1f0000ef",
    00000114 => x"1f0000ef",
    00000115 => x"ffff1537",
    00000115 => x"ffff1537",
    00000116 => x"f5c50513",
    00000116 => x"f4c50513",
    00000117 => x"265000ef",
    00000117 => x"265000ef",
    00000118 => x"fc702573",
    00000118 => x"fc702573",
    00000119 => x"1dc000ef",
    00000119 => x"1dc000ef",
    00000120 => x"f5048513",
    00000120 => x"f4048513",
    00000121 => x"255000ef",
    00000121 => x"255000ef",
    00000122 => x"fc502573",
    00000122 => x"fc502573",
    00000123 => x"1cc000ef",
    00000123 => x"1cc000ef",
    00000124 => x"ffff1537",
    00000124 => x"ffff1537",
    00000125 => x"f6450513",
    00000125 => x"f5450513",
    00000126 => x"241000ef",
    00000126 => x"241000ef",
    00000127 => x"00341413",
    00000127 => x"00341413",
    00000128 => x"00000493",
    00000128 => x"00000493",
    00000129 => x"ffff1937",
    00000129 => x"ffff1937",
    00000130 => x"fa402783",
    00000130 => x"fa402783",
    00000131 => x"0607d063",
    00000131 => x"0607d063",
    00000132 => x"ffff1537",
    00000132 => x"ffff1537",
    00000133 => x"f9450513",
    00000133 => x"f8050513",
    00000134 => x"221000ef",
    00000134 => x"221000ef",
    00000135 => x"ffff1937",
    00000135 => x"ffff1937",
    00000136 => x"0f4000ef",
    00000136 => x"0f4000ef",
    00000137 => x"ffff19b7",
    00000137 => x"ffff19b7",
    00000138 => x"07200a13",
    00000138 => x"07200a13",
    00000139 => x"06800a93",
    00000139 => x"06800a93",
    00000140 => x"07500b13",
    00000140 => x"07500b13",
    00000141 => x"07300b93",
    00000141 => x"07300b93",
    00000142 => x"ffff14b7",
    00000142 => x"ffff14b7",
    00000143 => x"ffff1c37",
    00000143 => x"ffff1c37",
    00000144 => x"fa090513",
    00000144 => x"f8c90513",
    00000145 => x"1f5000ef",
    00000145 => x"1f5000ef",
    00000146 => x"1e1000ef",
    00000146 => x"1e1000ef",
    00000147 => x"00050413",
    00000147 => x"00050413",
    00000148 => x"1c9000ef",
    00000148 => x"1c9000ef",
    00000149 => x"f9098513",
    00000149 => x"f7c98513",
    00000150 => x"1e1000ef",
    00000150 => x"1e1000ef",
    00000151 => x"09440263",
    00000151 => x"09440263",
    00000152 => x"03541863",
    00000152 => x"03541863",
    00000153 => x"0b0000ef",
    00000153 => x"0b0000ef",
    00000154 => x"fd9ff06f",
    00000154 => x"fd9ff06f",
    00000155 => x"0fd000ef",
    00000155 => x"0fd000ef",
    00000156 => x"00b49463",
    00000156 => x"00b49463",
    00000157 => x"f8856ae3",
    00000157 => x"f8856ae3",
    00000158 => x"00100513",
    00000158 => x"00100513",
    00000159 => x"508000ef",
    00000159 => x"508000ef",
    00000160 => x"f9090513",
    00000160 => x"f7c90513",
    00000161 => x"1b5000ef",
    00000161 => x"1b5000ef",
    00000162 => x"098000ef",
    00000162 => x"098000ef",
    00000163 => x"f7dff06f",
    00000163 => x"f7dff06f",
    00000164 => x"00000513",
    00000164 => x"00000513",
    00000165 => x"01640e63",
    00000165 => x"01640e63",
Line 185... Line 185...
    00000174 => x"06500793",
    00000174 => x"06500793",
    00000175 => x"00f41663",
    00000175 => x"00f41663",
    00000176 => x"060000ef",
    00000176 => x"060000ef",
    00000177 => x"f7dff06f",
    00000177 => x"f7dff06f",
    00000178 => x"03f00793",
    00000178 => x"03f00793",
    00000179 => x"fa8c0513",
    00000179 => x"f94c0513",
    00000180 => x"00f40463",
    00000180 => x"00f40463",
    00000181 => x"fbc48513",
    00000181 => x"fa848513",
    00000182 => x"161000ef",
    00000182 => x"161000ef",
    00000183 => x"f65ff06f",
    00000183 => x"f65ff06f",
    00000184 => x"02c12083",
    00000184 => x"02c12083",
    00000185 => x"02812403",
    00000185 => x"02812403",
    00000186 => x"02412483",
    00000186 => x"02412483",
Line 204... Line 204...
    00000193 => x"00812c03",
    00000193 => x"00812c03",
    00000194 => x"00000513",
    00000194 => x"00000513",
    00000195 => x"03010113",
    00000195 => x"03010113",
    00000196 => x"00008067",
    00000196 => x"00008067",
    00000197 => x"ffff1537",
    00000197 => x"ffff1537",
    00000198 => x"dbc50513",
    00000198 => x"dac50513",
    00000199 => x"11d0006f",
    00000199 => x"11d0006f",
    00000200 => x"340027f3",
    00000200 => x"340027f3",
    00000201 => x"00079863",
    00000201 => x"00079863",
    00000202 => x"ffff1537",
    00000202 => x"ffff1537",
    00000203 => x"e2050513",
    00000203 => x"e1050513",
    00000204 => x"1090006f",
    00000204 => x"1090006f",
    00000205 => x"ff010113",
    00000205 => x"ff010113",
    00000206 => x"00112623",
    00000206 => x"00112623",
    00000207 => x"251000ef",
    00000207 => x"30047073",
    00000208 => x"00000793",
    00000208 => x"00000793",
    00000209 => x"30479073",
    00000209 => x"30479073",
    00000210 => x"ffff1537",
    00000210 => x"ffff1537",
    00000211 => x"e3c50513",
    00000211 => x"e2c50513",
    00000212 => x"0e9000ef",
    00000212 => x"0e9000ef",
    00000213 => x"fa002783",
    00000213 => x"fa002783",
    00000214 => x"fe07cee3",
    00000214 => x"fe07cee3",
    00000215 => x"fc4027f3",
    00000215 => x"fc4027f3",
    00000216 => x"00078067",
    00000216 => x"00078067",
    00000217 => x"ff9ff06f",
    00000217 => x"ff9ff06f",
    00000218 => x"ff010113",
    00000218 => x"ff010113",
    00000219 => x"00812423",
    00000219 => x"00812423",
    00000220 => x"00050413",
    00000220 => x"00050413",
    00000221 => x"ffff1537",
    00000221 => x"ffff1537",
    00000222 => x"e4c50513",
    00000222 => x"e3c50513",
    00000223 => x"00112623",
    00000223 => x"00112623",
    00000224 => x"0b9000ef",
    00000224 => x"0b9000ef",
    00000225 => x"00500793",
    00000225 => x"00500793",
    00000226 => x"0287e063",
    00000226 => x"0287e063",
    00000227 => x"03040513",
    00000227 => x"03040513",
    00000228 => x"0ff57513",
    00000228 => x"0ff57513",
    00000229 => x"085000ef",
    00000229 => x"085000ef",
    00000230 => x"1f5000ef",
    00000230 => x"30047073",
    00000231 => x"00100513",
    00000231 => x"00100513",
    00000232 => x"1c9000ef",
    00000232 => x"1c9000ef",
    00000233 => x"0000006f",
    00000233 => x"0000006f",
    00000234 => x"ffff1537",
    00000234 => x"ffff1537",
    00000235 => x"e5450513",
    00000235 => x"e4450513",
    00000236 => x"089000ef",
    00000236 => x"089000ef",
    00000237 => x"fe5ff06f",
    00000237 => x"fe5ff06f",
    00000238 => x"fe010113",
    00000238 => x"fe010113",
    00000239 => x"01212823",
    00000239 => x"01212823",
    00000240 => x"00050913",
    00000240 => x"00050913",
    00000241 => x"ffff1537",
    00000241 => x"ffff1537",
    00000242 => x"00912a23",
    00000242 => x"00912a23",
    00000243 => x"e5c50513",
    00000243 => x"e4c50513",
    00000244 => x"ffff14b7",
    00000244 => x"ffff14b7",
    00000245 => x"00812c23",
    00000245 => x"00812c23",
    00000246 => x"01312623",
    00000246 => x"01312623",
    00000247 => x"00112e23",
    00000247 => x"00112e23",
    00000248 => x"01c00413",
    00000248 => x"01c00413",
    00000249 => x"055000ef",
    00000249 => x"055000ef",
    00000250 => x"fc848493",
    00000250 => x"fb448493",
    00000251 => x"ffc00993",
    00000251 => x"ffc00993",
    00000252 => x"008957b3",
    00000252 => x"008957b3",
    00000253 => x"00f7f793",
    00000253 => x"00f7f793",
    00000254 => x"00f487b3",
    00000254 => x"00f487b3",
    00000255 => x"0007c503",
    00000255 => x"0007c503",
Line 295... Line 295...
    00000284 => x"34202473",
    00000284 => x"34202473",
    00000285 => x"800007b7",
    00000285 => x"800007b7",
    00000286 => x"00778793",
    00000286 => x"00778793",
    00000287 => x"02f40a63",
    00000287 => x"02f40a63",
    00000288 => x"ffff1537",
    00000288 => x"ffff1537",
    00000289 => x"e6050513",
    00000289 => x"e5050513",
    00000290 => x"7b0000ef",
    00000290 => x"7b0000ef",
    00000291 => x"00040513",
    00000291 => x"00040513",
    00000292 => x"f29ff0ef",
    00000292 => x"f29ff0ef",
    00000293 => x"ffff1537",
    00000293 => x"ffff1537",
    00000294 => x"e7050513",
    00000294 => x"e6050513",
    00000295 => x"79c000ef",
    00000295 => x"79c000ef",
    00000296 => x"34102573",
    00000296 => x"34102573",
    00000297 => x"f15ff0ef",
    00000297 => x"f15ff0ef",
    00000298 => x"00500513",
    00000298 => x"00500513",
    00000299 => x"ebdff0ef",
    00000299 => x"ebdff0ef",
Line 505... Line 505...
    00000494 => x"00400513",
    00000494 => x"00400513",
    00000495 => x"badff0ef",
    00000495 => x"badff0ef",
    00000496 => x"00050493",
    00000496 => x"00050493",
    00000497 => x"02051863",
    00000497 => x"02051863",
    00000498 => x"ffff1537",
    00000498 => x"ffff1537",
    00000499 => x"e7850513",
    00000499 => x"e6850513",
    00000500 => x"468000ef",
    00000500 => x"468000ef",
    00000501 => x"000405b7",
    00000501 => x"000405b7",
    00000502 => x"00048513",
    00000502 => x"00048513",
    00000503 => x"f39ff0ef",
    00000503 => x"f39ff0ef",
    00000504 => x"4788d7b7",
    00000504 => x"4788d7b7",
    00000505 => x"afe78793",
    00000505 => x"afe78793",
    00000506 => x"02f50463",
    00000506 => x"02f50463",
    00000507 => x"00000513",
    00000507 => x"00000513",
    00000508 => x"fcdff06f",
    00000508 => x"fcdff06f",
    00000509 => x"ffff1537",
    00000509 => x"ffff1537",
    00000510 => x"e9850513",
    00000510 => x"e8850513",
    00000511 => x"43c000ef",
    00000511 => x"43c000ef",
    00000512 => x"e1dff0ef",
    00000512 => x"e1dff0ef",
    00000513 => x"fc0518e3",
    00000513 => x"fc0518e3",
    00000514 => x"00300513",
    00000514 => x"00300513",
    00000515 => x"fb1ff06f",
    00000515 => x"fb1ff06f",
Line 544... Line 544...
    00000533 => x"053b1663",
    00000533 => x"053b1663",
    00000534 => x"01540433",
    00000534 => x"01540433",
    00000535 => x"00200513",
    00000535 => x"00200513",
    00000536 => x"f4041ee3",
    00000536 => x"f4041ee3",
    00000537 => x"ffff1537",
    00000537 => x"ffff1537",
    00000538 => x"ea450513",
    00000538 => x"e9450513",
    00000539 => x"3cc000ef",
    00000539 => x"3cc000ef",
    00000540 => x"34091073",
    00000540 => x"34091073",
    00000541 => x"02c12083",
    00000541 => x"02c12083",
    00000542 => x"02812403",
    00000542 => x"02812403",
    00000543 => x"02412483",
    00000543 => x"02412483",
Line 643... Line 643...
    00000632 => x"01412423",
    00000632 => x"01412423",
    00000633 => x"01512223",
    00000633 => x"01512223",
    00000634 => x"34002473",
    00000634 => x"34002473",
    00000635 => x"02041863",
    00000635 => x"02041863",
    00000636 => x"ffff1537",
    00000636 => x"ffff1537",
    00000637 => x"e2050513",
    00000637 => x"e1050513",
    00000638 => x"01812403",
    00000638 => x"01812403",
    00000639 => x"01c12083",
    00000639 => x"01c12083",
    00000640 => x"01412483",
    00000640 => x"01412483",
    00000641 => x"01012903",
    00000641 => x"01012903",
    00000642 => x"00c12983",
    00000642 => x"00c12983",
    00000643 => x"00812a03",
    00000643 => x"00812a03",
    00000644 => x"00412a83",
    00000644 => x"00412a83",
    00000645 => x"02010113",
    00000645 => x"02010113",
    00000646 => x"2200006f",
    00000646 => x"2200006f",
    00000647 => x"ffff1537",
    00000647 => x"ffff1537",
    00000648 => x"ea850513",
    00000648 => x"e9850513",
    00000649 => x"214000ef",
    00000649 => x"214000ef",
    00000650 => x"00040513",
    00000650 => x"00040513",
    00000651 => x"98dff0ef",
    00000651 => x"98dff0ef",
    00000652 => x"ffff1537",
    00000652 => x"ffff1537",
    00000653 => x"eb450513",
    00000653 => x"ea450513",
    00000654 => x"200000ef",
    00000654 => x"200000ef",
    00000655 => x"00040537",
    00000655 => x"00040537",
    00000656 => x"979ff0ef",
    00000656 => x"979ff0ef",
    00000657 => x"ffff1537",
    00000657 => x"ffff1537",
    00000658 => x"ed050513",
    00000658 => x"ec050513",
    00000659 => x"1ec000ef",
    00000659 => x"1ec000ef",
    00000660 => x"1d8000ef",
    00000660 => x"1d8000ef",
    00000661 => x"00050493",
    00000661 => x"00050493",
    00000662 => x"1c0000ef",
    00000662 => x"1c0000ef",
    00000663 => x"07900793",
    00000663 => x"07900793",
Line 676... Line 676...
    00000665 => x"bb9ff0ef",
    00000665 => x"bb9ff0ef",
    00000666 => x"00051663",
    00000666 => x"00051663",
    00000667 => x"00300513",
    00000667 => x"00300513",
    00000668 => x"8f9ff0ef",
    00000668 => x"8f9ff0ef",
    00000669 => x"ffff1537",
    00000669 => x"ffff1537",
    00000670 => x"edc50513",
    00000670 => x"ecc50513",
    00000671 => x"01045493",
    00000671 => x"01045493",
    00000672 => x"1b8000ef",
    00000672 => x"1b8000ef",
    00000673 => x"00148493",
    00000673 => x"00148493",
    00000674 => x"00040937",
    00000674 => x"00040937",
    00000675 => x"fff00993",
    00000675 => x"fff00993",
Line 706... Line 706...
    00000695 => x"02849663",
    00000695 => x"02849663",
    00000696 => x"00898513",
    00000696 => x"00898513",
    00000697 => x"412005b3",
    00000697 => x"412005b3",
    00000698 => x"e39ff0ef",
    00000698 => x"e39ff0ef",
    00000699 => x"ffff1537",
    00000699 => x"ffff1537",
    00000700 => x"ea450513",
    00000700 => x"e9450513",
    00000701 => x"f05ff06f",
    00000701 => x"f05ff06f",
    00000702 => x"00090513",
    00000702 => x"00090513",
    00000703 => x"e7dff0ef",
    00000703 => x"e7dff0ef",
    00000704 => x"01490933",
    00000704 => x"01490933",
    00000705 => x"f91ff06f",
    00000705 => x"f91ff06f",
Line 871... Line 871...
    00000860 => x"fb800713",
    00000860 => x"fb800713",
    00000861 => x"00072783",
    00000861 => x"00072783",
    00000862 => x"ffe7f793",
    00000862 => x"ffe7f793",
    00000863 => x"00f72023",
    00000863 => x"00f72023",
    00000864 => x"00008067",
    00000864 => x"00008067",
    00000865 => x"30046073",
    00000865 => x"fb000713",
    00000866 => x"00008067",
    00000866 => x"00072783",
    00000867 => x"30047073",
    00000867 => x"ff77f793",
    00000868 => x"00008067",
    00000868 => x"00f72023",
    00000869 => x"fb000713",
    00000869 => x"00008067",
    00000870 => x"00072783",
    00000870 => x"f8800713",
    00000871 => x"ff77f793",
    00000871 => x"00072783",
    00000872 => x"00f72023",
    00000872 => x"fef7f793",
    00000873 => x"00008067",
    00000873 => x"00f72023",
    00000874 => x"f8800713",
    00000874 => x"00008067",
    00000875 => x"00072783",
    00000875 => x"69617641",
    00000876 => x"fef7f793",
    00000876 => x"6c62616c",
    00000877 => x"00f72023",
    00000877 => x"4d432065",
    00000878 => x"00008067",
    00000878 => x"0a3a7344",
    00000879 => x"69617641",
    00000879 => x"203a6820",
    00000880 => x"6c62616c",
    00000880 => x"706c6548",
    00000881 => x"4d432065",
    00000881 => x"3a72200a",
    00000882 => x"0a3a7344",
    00000882 => x"73655220",
    00000883 => x"203a6820",
    00000883 => x"74726174",
    00000884 => x"706c6548",
    00000884 => x"3a75200a",
    00000885 => x"3a72200a",
    00000885 => x"6c705520",
    00000886 => x"73655220",
    00000886 => x"0a64616f",
    00000887 => x"74726174",
    00000887 => x"203a7320",
    00000888 => x"3a75200a",
    00000888 => x"726f7453",
    00000889 => x"6c705520",
    00000889 => x"6f742065",
    00000890 => x"0a64616f",
    00000890 => x"616c6620",
    00000891 => x"203a7320",
    00000891 => x"200a6873",
    00000892 => x"726f7453",
    00000892 => x"4c203a6c",
    00000893 => x"6f742065",
    00000893 => x"2064616f",
    00000894 => x"616c6620",
    00000894 => x"6d6f7266",
    00000895 => x"200a6873",
    00000895 => x"616c6620",
    00000896 => x"4c203a6c",
    00000896 => x"200a6873",
    00000897 => x"2064616f",
    00000897 => x"45203a65",
    00000898 => x"6d6f7266",
    00000898 => x"75636578",
    00000899 => x"616c6620",
    00000899 => x"00006574",
    00000900 => x"200a6873",
    00000900 => x"65206f4e",
    00000901 => x"45203a65",
    00000901 => x"75636578",
    00000902 => x"75636578",
    00000902 => x"6c626174",
    00000903 => x"00006574",
    00000903 => x"76612065",
    00000904 => x"65206f4e",
    00000904 => x"616c6961",
    00000905 => x"75636578",
    00000905 => x"2e656c62",
    00000906 => x"6c626174",
    00000906 => x"00000000",
    00000907 => x"76612065",
    00000907 => x"746f6f42",
    00000908 => x"616c6961",
    00000908 => x"2e676e69",
    00000909 => x"2e656c62",
    00000909 => x"0a0a2e2e",
    00000910 => x"00000000",
    00000910 => x"00000000",
    00000911 => x"746f6f42",
    00000911 => x"52450a07",
    00000912 => x"2e676e69",
    00000912 => x"00005f52",
    00000913 => x"0a0a2e2e",
    00000913 => x"6e6b6e75",
    00000914 => x"00000000",
    00000914 => x"006e776f",
    00000915 => x"52450a07",
    00000915 => x"00007830",
    00000916 => x"00005f52",
    00000916 => x"58450a0a",
    00000917 => x"6e6b6e75",
    00000917 => x"54504543",
    00000918 => x"006e776f",
    00000918 => x"3a4e4f49",
    00000919 => x"00007830",
    00000919 => x"00000020",
    00000920 => x"58450a0a",
    00000920 => x"30204020",
    00000921 => x"54504543",
    00000921 => x"00000078",
    00000922 => x"3a4e4f49",
    00000922 => x"69617741",
    00000923 => x"00000020",
    00000923 => x"676e6974",
    00000924 => x"30204020",
    00000924 => x"6f656e20",
    00000925 => x"00000078",
    00000925 => x"32337672",
    00000926 => x"69617741",
    00000926 => x"6578655f",
    00000927 => x"676e6974",
    00000927 => x"6e69622e",
    00000928 => x"6f656e20",
    00000928 => x"202e2e2e",
    00000929 => x"32337672",
    00000929 => x"00000000",
    00000930 => x"6578655f",
    00000930 => x"64616f4c",
    00000931 => x"6e69622e",
    00000931 => x"2e676e69",
    00000932 => x"202e2e2e",
    00000932 => x"00202e2e",
    00000933 => x"00000000",
    00000933 => x"00004b4f",
    00000934 => x"64616f4c",
    00000934 => x"74697257",
    00000935 => x"2e676e69",
    00000935 => x"78302065",
    00000936 => x"00202e2e",
    00000936 => x"00000000",
    00000937 => x"00004b4f",
    00000937 => x"74796220",
    00000938 => x"74697257",
    00000938 => x"74207365",
    00000939 => x"78302065",
    00000939 => x"5053206f",
    00000940 => x"00000000",
    00000940 => x"6c662049",
    00000941 => x"74796220",
    00000941 => x"20687361",
    00000942 => x"74207365",
    00000942 => x"78302040",
    00000943 => x"5053206f",
    00000943 => x"00000000",
    00000944 => x"6c662049",
    00000944 => x"7928203f",
    00000945 => x"20687361",
    00000945 => x"20296e2f",
    00000946 => x"78302040",
    00000946 => x"00000000",
    00000947 => x"00000000",
    00000947 => x"616c460a",
    00000948 => x"7928203f",
    00000948 => x"6e696873",
    00000949 => x"20296e2f",
    00000949 => x"2e2e2e67",
    00000950 => x"00000000",
    00000950 => x"00000020",
    00000951 => x"616c460a",
    00000951 => x"0a0a0a0a",
    00000952 => x"6e696873",
    00000952 => x"4e203c3c",
    00000953 => x"2e2e2e67",
    00000953 => x"56524f45",
    00000954 => x"00000020",
    00000954 => x"42203233",
    00000955 => x"0a0a0a0a",
    00000955 => x"6c746f6f",
    00000956 => x"4e203c3c",
    00000956 => x"6564616f",
    00000957 => x"56524f45",
    00000957 => x"3e3e2072",
    00000958 => x"42203233",
    00000958 => x"4c420a0a",
    00000959 => x"6c746f6f",
    00000959 => x"203a5644",
    00000960 => x"6564616f",
    00000960 => x"206c754a",
    00000961 => x"3e3e2072",
    00000961 => x"32203720",
    00000962 => x"4c420a0a",
    00000962 => x"0a303230",
    00000963 => x"203a5644",
    00000963 => x"3a565748",
    00000964 => x"206c754a",
    00000964 => x"00002020",
    00000965 => x"32203520",
    00000965 => x"4b4c430a",
    00000966 => x"0a303230",
    00000966 => x"0020203a",
    00000967 => x"3a565748",
    00000967 => x"0a7a4820",
    00000968 => x"00002020",
    00000968 => x"4449484d",
    00000969 => x"4b4c430a",
    00000969 => x"0000203a",
    00000970 => x"0020203a",
    00000970 => x"53494d0a",
    00000971 => x"0a7a4820",
    00000971 => x"00203a41",
    00000972 => x"4449484d",
    00000972 => x"4e4f430a",
    00000973 => x"0000203a",
    00000973 => x"00203a46",
    00000974 => x"53494d0a",
    00000974 => x"454d490a",
    00000975 => x"00203a41",
    00000975 => x"00203a4d",
    00000976 => x"4e4f430a",
    00000976 => x"74796220",
    00000977 => x"00203a46",
    00000977 => x"40207365",
    00000978 => x"454d490a",
    00000978 => x"00000020",
    00000979 => x"00203a4d",
    00000979 => x"454d440a",
    00000980 => x"74796220",
    00000980 => x"00203a4d",
    00000981 => x"40207365",
    00000981 => x"75410a0a",
    00000982 => x"00000020",
    00000982 => x"6f626f74",
    00000983 => x"454d440a",
    00000983 => x"6920746f",
    00000984 => x"00203a4d",
    00000984 => x"7338206e",
    00000985 => x"75410a0a",
    00000985 => x"7250202e",
    00000986 => x"6f626f74",
    00000986 => x"20737365",
    00000987 => x"6920746f",
    00000987 => x"2079656b",
    00000988 => x"3828206e",
    00000988 => x"61206f74",
    00000989 => x"202e7329",
    00000989 => x"74726f62",
    00000990 => x"73657250",
    00000990 => x"00000a2e",
    00000991 => x"656b2073",
    00000991 => x"0000000a",
    00000992 => x"6f742079",
    00000992 => x"726f6241",
    00000993 => x"6f626120",
    00000993 => x"2e646574",
    00000994 => x"0a2e7472",
    00000994 => x"00000a0a",
    00000995 => x"00000000",
    00000995 => x"444d430a",
    00000996 => x"0000000a",
    00000996 => x"00203e3a",
    00000997 => x"726f6241",
    00000997 => x"53207962",
    00000998 => x"2e646574",
    00000998 => x"68706574",
    00000999 => x"00000a0a",
    00000999 => x"4e206e61",
    00001000 => x"444d430a",
    00001000 => x"69746c6f",
    00001001 => x"00203e3a",
    00001001 => x"0000676e",
    00001002 => x"53207962",
    00001002 => x"61766e49",
    00001003 => x"68706574",
    00001003 => x"2064696c",
    00001004 => x"4e206e61",
    00001004 => x"00444d43",
    00001005 => x"69746c6f",
    00001005 => x"33323130",
    00001006 => x"0000676e",
    00001006 => x"37363534",
    00001007 => x"61766e49",
    00001007 => x"42413938",
    00001008 => x"2064696c",
    00001008 => x"46454443",
    00001009 => x"00444d43",
 
    00001010 => x"33323130",
 
    00001011 => x"37363534",
 
    00001012 => x"42413938",
 
    00001013 => x"46454443",
 
    others =>   x"00000000"
    others =>   x"00000000"
  );
  );
 
 
end neorv32_bootloader_image;
end neorv32_bootloader_image;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.