OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cfs.vhd] - Diff between revs 69 and 70

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 69 Rev 70
Line 1... Line 1...
-- #################################################################################################
-- #################################################################################################
-- # << NEORV32 - Custom Functions Subsystem (CFS) >>                                              #
-- # << NEORV32 - Custom Functions Subsystem (CFS) >>                                              #
-- # ********************************************************************************************* #
-- # ********************************************************************************************* #
-- # For tightly-coupled custom co-processors. Provides 32x32-bit memory-mapped registers.         #
-- # Intended for tightly-coupled, application-specific custom co-processors. This module provides #
-- # This is just an "example/illustration template". Modify this file to implement your own       #
-- # 32x 32-bit memory-mapped interface registers, one interrupt request signal and custom IO      #
-- # custom design logic.                                                                          #
-- # conduits for processor-external or chip-external interface.                                   #
 
-- #                                                                                               #
 
-- # NOTE: This is just an example/illustration template. Modify/replace this file to implement    #
 
-- #       your own custom design logic.                                                           #
-- # ********************************************************************************************* #
-- # ********************************************************************************************* #
-- # BSD 3-Clause License                                                                          #
-- # BSD 3-Clause License                                                                          #
-- #                                                                                               #
-- #                                                                                               #
-- # Copyright (c) 2021, Stephan Nolting. All rights reserved.                                     #
-- # Copyright (c) 2022, Stephan Nolting. All rights reserved.                                     #
-- #                                                                                               #
-- #                                                                                               #
-- # Redistribution and use in source and binary forms, with or without modification, are          #
-- # Redistribution and use in source and binary forms, with or without modification, are          #
-- # permitted provided that the following conditions are met:                                     #
-- # permitted provided that the following conditions are met:                                     #
-- #                                                                                               #
-- #                                                                                               #
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
-- # 1. Redistributions of source code must retain the above copyright notice, this list of        #
Line 90... Line 93...
 
 
begin
begin
 
 
  -- Access Control -------------------------------------------------------------------------
  -- Access Control -------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- These assignments are required to check if the CFS is accessed at all.
  -- This logic is required to handle the CPU accesses.
  -- DO NOT MODIFY this unless you really know what you are doing.
  -- DO NOT MODIFY this unless you really know what you are doing.
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = cfs_base_c(hi_abb_c downto lo_abb_c)) else '0';
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = cfs_base_c(hi_abb_c downto lo_abb_c)) else '0';
  addr   <= cfs_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
  addr   <= cfs_base_c(31 downto lo_abb_c) & addr_i(lo_abb_c-1 downto 2) & "00"; -- word aligned
  wren   <= acc_en and wren_i; -- full 32-bit word write enable
  wren   <= acc_en and wren_i; -- write accesses always write a full 32-bit word
  rden   <= acc_en and rden_i; -- the read access is always a full 32-bit word wide; if required, the byte/half-word select/masking is done in the CPU
  rden   <= acc_en and rden_i; -- read accesses always return a full 32-bit word
 
 
  -- NOTE: Do not modify the CFS base address or the CFS' occupied address space as this might cause access
  -- NOTE: Do not modify the CFS base address or the CFS' occupied address space as this might cause access
  -- collisions with other modules.
  -- collisions with other modules.
 
 
  -- This module provides an ERROR signal to signal a faulty access operation to the CPU.
 
  -- It can be used to indicate an invalid access (for example to an unused CFS register address) or to signal
 
  -- a faulty state (like "not operational yet"). The error signal can be checked be checked by the applications
 
  -- "bus access fault" exception handler (provided by the system's BUSKEEPER module).
 
  -- This signal may only be set when the module is actually accessed! Tie to zero if not explicitly used.
 
  err_o <= '0';
 
 
 
 
 
  -- CFS Generics ---------------------------------------------------------------------------
  -- CFS Generics ---------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- In its default version, the CFS provides the configuration generics. single generic:
  -- In it's default version the CFS provides three configuration generics:
  -- CFS_IN_SIZE configures the size (in bits) of the CFS input conduit cfs_in_i
  -- CFS_IN_SIZE  - configures the size (in bits) of the CFS input conduit cfs_in_i
  -- CFS_OUT_SIZE configures the size (in bits) of the CFS output conduit cfs_out_o
  -- CFS_OUT_SIZE - configures the size (in bits) of the CFS output conduit cfs_out_o
  -- CFS_CONFIG is a blank 32-bit generic. It is intended as a "generic conduit" to propagate custom configuration flags from the top entity down to this entiy.
  -- CFS_CONFIG   - is a blank 32-bit generic. It is intended as a "generic conduit" to propagate
 
  --                custom configuration flags from the top entity down to this module.
 
 
 
 
  -- CFS IOs --------------------------------------------------------------------------------
  -- CFS IOs --------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- By default, the CFS provides two IO signals (cfs_in_i and cfs_out_o) that are available at the processor top entity.
  -- By default, the CFS provides two IO signals (cfs_in_i and cfs_out_o) that are available at the processor's top entity.
  -- These are intended as "conduits" to propagate custom signals this entity <=> processor top entity.
  -- These are intended as "conduits" to propagate custom signals from this module and the processor top entity.
 
 
  cfs_out_o <= (others => '0'); -- not used for this minimal example
  cfs_out_o <= (others => '0'); -- not used for this minimal example
 
 
 
 
  -- Reset System ---------------------------------------------------------------------------
  -- Reset System ---------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- The CFS can be reset using the global rstn_i signal. This signal should be used as asynchronous reset and is active-low.
  -- The CFS can be reset using the global rstn_i signal. This signal should be used as asynchronous reset and is active-low.
  -- Note that rstn_i can be asserted by an external reset and also by a watchdog-cause reset.
  -- Note that rstn_i can be asserted by a processor-external reset, the on-chip debugger and also by the watchdog.
  --
  --
  -- Most default peripheral devices of the NEORV32 do NOT use a dedicated reset at all. Instead, these units are reset by writing ZERO
  -- Most default peripheral devices of the NEORV32 do NOT use a dedicated hardware reset at all. Instead, these units are
  -- to a specific "control register" located right at the beginning of the device's address space (so this register is cleared at first).
  -- reset by writing ZERO to a specific "control register" located right at the beginning of the device's address space
  -- The crt0 start-up code write ZERO to every single address in the processor's IO space - including the CFS.
  -- (so this register is cleared at first). The crt0 start-up code writes ZERO to every single address in the processor's
  -- Make sure that this clearing does not cause any unintended actions in the CFS.
  -- IO space - including the CFS. Make sure that this initial clearing does not cause any unintended CFS actions.
 
 
 
 
  -- Clock System ---------------------------------------------------------------------------
  -- Clock System ---------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- The processor top unit implements a clock generator providing 8 "derived clocks"
  -- The processor top unit implements a clock generator providing 8 "derived clocks".
  -- Actually, these signals should not be used as direct clock signals, but as *clock enable* signals.
  -- Actually, these signals should not be used as direct clock signals, but as *clock enable* signals.
  -- clkgen_i is always synchronous to the main system clock (clk_i).
  -- clkgen_i is always synchronous to the main system clock (clk_i).
  --
  --
  -- The following clock divider rates are available:
  -- The following clock dividers are available:
  -- clkgen_i(clk_div2_c)    -> MAIN_CLK/2
  --  + clkgen_i(clk_div2_c)    -> MAIN_CLK/2
  -- clkgen_i(clk_div4_c)    -> MAIN_CLK/4
  --  + clkgen_i(clk_div4_c)    -> MAIN_CLK/4
  -- clkgen_i(clk_div8_c)    -> MAIN_CLK/8
  --  + clkgen_i(clk_div8_c)    -> MAIN_CLK/8
  -- clkgen_i(clk_div64_c)   -> MAIN_CLK/64
  --  + clkgen_i(clk_div64_c)   -> MAIN_CLK/64
  -- clkgen_i(clk_div128_c)  -> MAIN_CLK/128
  --  + clkgen_i(clk_div128_c)  -> MAIN_CLK/128
  -- clkgen_i(clk_div1024_c) -> MAIN_CLK/1024
  --  + clkgen_i(clk_div1024_c) -> MAIN_CLK/1024
  -- clkgen_i(clk_div2048_c) -> MAIN_CLK/2048
  --  + clkgen_i(clk_div2048_c) -> MAIN_CLK/2048
  -- clkgen_i(clk_div4096_c) -> MAIN_CLK/4096
  --  + clkgen_i(clk_div4096_c) -> MAIN_CLK/4096
  --
  --
  -- For instance, if you want to drive a clock process at MAIN_CLK/8 clock speed you can use the following construct:
  -- For instance, if you want to drive a clock process at MAIN_CLK/8 clock speed you can use the following construct:
  --
  --
  --   if (rstn_i = '0') then -- async and low-active reset (if required at all)
  --   if (rstn_i = '0') then -- async and low-active reset (if required at all)
  --   ...
  --   ...
Line 161... Line 158...
  --     if (clkgen_i(clk_div8_c) = '1') then -- the div8 "clock" is actually a clock enable
  --     if (clkgen_i(clk_div8_c) = '1') then -- the div8 "clock" is actually a clock enable
  --       ...
  --       ...
  --     end if;
  --     end if;
  --   end if;
  --   end if;
  --
  --
  -- The clkgen_i input clocks are available when at least one IO/peripheral device (for example the SPI) requires the clocks generated by the
  -- The clkgen_i input clocks are available when at least one IO/peripheral device (for example the UART) requires the clocks
  -- clock generator. The CFS can enable the clock generator by itself by setting the clkgen_en_o signal high.
  -- generated by the clock generator. The CFS can enable the clock generator by itself by setting the clkgen_en_o signal high.
  -- The CFS cannot ensure to deactivate the clock generator by setting the clkgen_en_o signal low as other peripherals might still keep the generator activated.
  -- The CFS cannot ensure to deactivate the clock generator by setting the clkgen_en_o signal low as other peripherals might
  -- Make sure to deactivate the CFS's clkgen_en_o if no clocks are required in here to reduce dynamic power consumption.
  -- still keep the generator activated. Make sure to deactivate the CFS's clkgen_en_o if no clocks are required in here to
 
  -- reduce dynamic power consumption.
 
 
  clkgen_en_o <= '0'; -- not used for this minimal example
  clkgen_en_o <= '0'; -- not used for this minimal example
 
 
 
 
  -- Interrupt ------------------------------------------------------------------------------
  -- Interrupt ------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- The CFS features a single interrupt signal, which is connected to the CPU's "fast interrupt" channel 1.
  -- The CFS features a single interrupt signal, which is connected to the CPU's "fast interrupt" channel 1 (FIRQ1).
  -- The interrupt is triggered by a one-shot rising edge. After triggering, the interrupt appears as "pending" in the CPU's mie register
  -- The interrupt is triggered by a one-cycle high-level. After triggering, the interrupt appears as "pending" in the CPU's
  -- ready to trigger execution of the according interrupt handler. The interrupt request signal should be triggered
  -- mip CSR ready to trigger execution of the according interrupt handler. It is the task of the application to programmer
  -- whenever an interrupt condition is fulfilled. It is the task of the application to programmer to enable/clear the CFS interrupt
  -- to enable/clear the CFS interrupt using the CPU's mie and mip registers when required.
  -- using the CPU's mie and mip registers when reuqired.
 
 
 
  irq_o <= '0'; -- not used for this minimal example
  irq_o <= '0'; -- not used for this minimal example
 
 
 
 
  -- Read/Write Access ----------------------------------------------------------------------
  -- Read/Write Access ----------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- Here we are reading/writing from/to the interface registers of the module. Please note that the peripheral/IO
  -- Here we are reading/writing from/to the interface registers of the module. Please note that the peripheral/IO modules
  -- modules of the NEORV32 can only be written in full word mode (32-bit). Any other write access (half-word or byte)
  -- of the NEORV32 can only be written in full word mode (32-bit). Any other write accesses (half-word or byte) will raise
  -- will trigger a store bus access fault exception.
  -- a store bus access fault exception.
 
  --
 
  -- The CFS provides up to 32 memory-mapped 32-bit interface register. For instance, these could be used to provide a
 
  -- <control register> for global control of the unit, a <data register> for reading/writing from/to a data FIFO, a
 
  -- <command register> for issuing commands and a <status register> for status information.
  --
  --
  -- The CFS provides up to 32 memory-mapped 32-bit interface register. For instance, these could be used to provide
  -- Following the interface protocol, each read or write access has to be acknowledged in the following cycle using the ack_o
  -- a <control register> for global control of the unit, a <data register> for reading/writing from/to a data FIFO, a <command register>
  -- signal (or even later if the module needs additional time; exceeding the maximum ACK latency will raise a bus exception).
  -- for issuing commands and a <status register> for status information.
  -- If no ACK is generated at all, the bus access will time out and cause a bus access fault exception.
  --
  --
  -- Following the interface protocol, each read or write access has to be acknowledged in the following cycle using the ack_o signal (or even later
  -- This module also provides an optional ERROR signal to indicate a faulty access operation (for example when accessing an
  -- if the module needs additional time; the maximum latency until an unacknowledged access will trigger a bus exception is defined via the package's
  -- unused, read-only or "locked" CFS register address). This signal may only be set when the module is actually accessed
  -- global "bus_timeout_c" constant). If no ACK is generated at all, the bus access will time out and cause a bus access fault exception.
  -- and is asserted INSTEAD of the ACK signal. Setting the ERR signal will raise a bus access exception that can be handled
 
  -- by the application software.
  -- Host access: Read and write access to the interface registers + bus transfer acknowledge.
 
  -- This example only implements four physical r/w register (the four lowest CF register). The remaining addresses of the CFS are not
  err_o <= '0'; -- Tie to zero if not explicitly used.
  -- associated with any writable or readable register - an access to those is simply ignored but still acknowledged.
 
 
  -- Host access: Read and write access to the interface registers + bus transfer acknowledge. This example only implements
 
  -- four physical r/w register (the four lowest CFS registers). The remaining addresses of the CFS are not associated with
 
  -- any physical registers - any access to those is simply ignored but still acknowledged.
 
 
  host_access: process(clk_i)
  host_access: process(clk_i)
  begin
  begin
    if rising_edge(clk_i) then -- synchronous interface for reads and writes
    if rising_edge(clk_i) then -- synchronous interface for read and write accesses
      -- transfer/access acknowledge --
      -- transfer/access acknowledge --
      ack_o <= rden or wren; -- default: required for the CPU to check the CFS is answering a bus read OR write request; all r/w accesses (to any cfs_reg) will succeed
      ack_o <= rden or wren; -- default: required for the CPU to check the CFS is answering a bus read OR write request;
--    ack_o <= rden; -- use this construct if your CFS is read-only
                             -- all r/w accesses (to any cfs_reg) will succeed
--    ack_o <= wren; -- use this construct if your CFS is write-only
 
--    ack_o <= ... -- or define the ACK by yourself (example: some registers are read-only, some others can only be written, ...)
 
 
 
      -- write access --
      -- write access --
      if (wren = '1') then -- word-wide write-access only!
      if (wren = '1') then
        if (addr = cfs_reg0_addr_c) then -- make sure to use the internal "addr" signal for the read/write interface
        if (addr = cfs_reg0_addr_c) then -- make sure to use the internal "addr" signal for the read/write interface
          cfs_reg_wr(0) <= data_i; -- for example: control register
          cfs_reg_wr(0) <= data_i; -- physical register, for example: control register
        end if;
        end if;
        if (addr = cfs_reg1_addr_c) then
        if (addr = cfs_reg1_addr_c) then
          cfs_reg_wr(1) <= data_i; -- for example: data in/out fifo
          cfs_reg_wr(1) <= data_i; -- physical register, for example: data in/out fifo
        end if;
        end if;
        if (addr = cfs_reg2_addr_c) then
        if (addr = cfs_reg2_addr_c) then
          cfs_reg_wr(2) <= data_i; -- for example: command fifo
          cfs_reg_wr(2) <= data_i; -- physical register, for example: command fifo
        end if;
        end if;
        if (addr = cfs_reg3_addr_c) then
        if (addr = cfs_reg3_addr_c) then
          cfs_reg_wr(3) <= data_i; -- for example: status register
          cfs_reg_wr(3) <= data_i; -- physical register, for example: status register
        end if;
        end if;
      end if;
      end if;
 
 
      -- read access --
      -- read access --
      data_o <= (others => '0'); -- the output has to be zero if there is no actual read access
      data_o <= (others => '0'); -- the output has to be zero if there is no actual read access
      if (rden = '1') then -- the read access is always a full 32-bit word wide; if required, the byte/half-word select/masking is done in the CPU
      if (rden = '1') then -- the read access is always a full 32-bit word wide
        case addr is -- make sure to use the internal 'addr' signal for the read/write interface
        case addr is -- make sure to use the internal 'addr' signal for the read/write interface
          when cfs_reg0_addr_c => data_o <= cfs_reg_rd(0);
          when cfs_reg0_addr_c => data_o <= cfs_reg_rd(0);
          when cfs_reg1_addr_c => data_o <= cfs_reg_rd(1);
          when cfs_reg1_addr_c => data_o <= cfs_reg_rd(1);
          when cfs_reg2_addr_c => data_o <= cfs_reg_rd(2);
          when cfs_reg2_addr_c => data_o <= cfs_reg_rd(2);
          when cfs_reg3_addr_c => data_o <= cfs_reg_rd(3);
          when cfs_reg3_addr_c => data_o <= cfs_reg_rd(3);
Line 243... Line 245...
  -- CFS Function Core ----------------------------------------------------------------------
  -- CFS Function Core ----------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- This is where the actual functionality can be implemented.
  -- This is where the actual functionality can be implemented.
  -- In this example we are just implementing four r/w registers that invert any value written to them.
  -- In this example we are just implementing four r/w registers that invert any value written to them.
 
 
  cfs_core: process(cfs_reg_wr)
  cfs_core_logic: process(cfs_reg_wr)
  begin
  begin
    cfs_reg_rd(0) <= not cfs_reg_wr(0); -- just invert the written value
    cfs_reg_rd(0) <= not cfs_reg_wr(0);
    cfs_reg_rd(1) <= not cfs_reg_wr(1);
    cfs_reg_rd(1) <= not cfs_reg_wr(1);
    cfs_reg_rd(2) <= not cfs_reg_wr(2);
    cfs_reg_rd(2) <= not cfs_reg_wr(2);
    cfs_reg_rd(3) <= not cfs_reg_wr(3);
    cfs_reg_rd(3) <= not cfs_reg_wr(3);
  end process cfs_core;
  end process cfs_core_logic;
 
 
 
 
end neorv32_cfs_rtl;
end neorv32_cfs_rtl;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.