OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_cpu_cp_muldiv.vhd] - Diff between revs 2 and 3

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 3
Line 93... Line 93...
  coprocessor_ctrl: process(rstn_i, clk_i)
  coprocessor_ctrl: process(rstn_i, clk_i)
  begin
  begin
    if (rstn_i = '0') then
    if (rstn_i = '0') then
      state        <= IDLE;
      state        <= IDLE;
      cp_op        <= (others => '0');
      cp_op        <= (others => '0');
      opx          <= (others => '-');
      opx          <= (others => '0');
      opy          <= (others => '-');
      opy          <= (others => '0');
      cnt          <= (others => '-');
      cnt          <= (others => '0');
      start        <= '-';
      start        <= '0';
      valid_o      <= '0';
      valid_o      <= '0';
      div_res_corr <= '-';
      div_res_corr <= '0';
    elsif rising_edge(clk_i) then
    elsif rising_edge(clk_i) then
      -- defaults --
      -- defaults --
      start   <= '0';
      start   <= '0';
      valid_o <= '0';
      valid_o <= '0';
 
 
Line 252... Line 252...
        when cp_op_rem_c =>
        when cp_op_rem_c =>
          res_o <= div_res;
          res_o <= div_res;
        when cp_op_remu_c =>
        when cp_op_remu_c =>
          res_o <= remainder;
          res_o <= remainder;
        when others => -- undefined
        when others => -- undefined
          res_o <= (others => '-');
          res_o <= (others => '0');
      end case;
      end case;
    end if;
    end if;
  end process operation_result;
  end process operation_result;
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.