OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_package.vhd] - Diff between revs 25 and 26

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 25 Rev 26
Line 39... Line 39...
package neorv32_package is
package neorv32_package is
 
 
  -- Architecture Constants/Configuration ---------------------------------------------------
  -- Architecture Constants/Configuration ---------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  constant data_width_c   : natural := 32; -- data width - FIXED!
  constant data_width_c   : natural := 32; -- data width - FIXED!
  constant hw_version_c   : std_ulogic_vector(31 downto 0) := x"01040302"; -- no touchy!
  constant hw_version_c   : std_ulogic_vector(31 downto 0) := x"01040303"; -- no touchy!
  constant pmp_max_r_c    : natural := 8; -- max PMP regions - FIXED!
  constant pmp_max_r_c    : natural := 8; -- max PMP regions - FIXED!
  constant ipb_entries_c  : natural := 2; -- entries in instruction prefetch buffer, must be a power of 2, default=2
  constant ipb_entries_c  : natural := 2; -- entries in instruction prefetch buffer, must be a power of 2, default=2
  constant rf_r0_is_reg_c : boolean := true; -- reg_file.r0 is a physical register that has to be initialized to zero
  constant rf_r0_is_reg_c : boolean := true; -- reg_file.r0 is a physical register that has to be initialized to zero
 
 
  -- Helper Functions -----------------------------------------------------------------------
  -- Helper Functions -----------------------------------------------------------------------
Line 63... Line 63...
  type pmp_ctrl_if_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(7 downto 0);
  type pmp_ctrl_if_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(7 downto 0);
  type pmp_addr_if_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(33 downto 0);
  type pmp_addr_if_t is array (0 to pmp_max_r_c-1) of std_ulogic_vector(33 downto 0);
 
 
  -- General Address Space Layout -----------------------------------------------------------
  -- General Address Space Layout -----------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  constant ispace_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"00000000"; -- instruction memory space base address
  constant ispace_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"00000000"; -- default instruction memory space base address
  constant dspace_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"80000000"; -- data memory space base address
  constant dspace_base_c : std_ulogic_vector(data_width_c-1 downto 0) := x"80000000"; -- default data memory space base address
 
 
  -- Processor-Internal Address Space Layout ------------------------------------------------
  -- Processor-Internal Address Space Layout ------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- Internal Instruction Memory (IMEM) --
  -- Internal Instruction Memory (IMEM) --
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
  constant imem_base_c          : std_ulogic_vector(data_width_c-1 downto 0) := ispace_base_c; -- internal instruction memory base address
Line 184... Line 184...
  constant ctrl_alu_cmd1_c        : natural := 20; -- ALU command bit 1
  constant ctrl_alu_cmd1_c        : natural := 20; -- ALU command bit 1
  constant ctrl_alu_cmd2_c        : natural := 21; -- ALU command bit 2
  constant ctrl_alu_cmd2_c        : natural := 21; -- ALU command bit 2
  constant ctrl_alu_opa_mux_lsb_c : natural := 22; -- operand A select lsb (00=rs1, 01=PC)
  constant ctrl_alu_opa_mux_lsb_c : natural := 22; -- operand A select lsb (00=rs1, 01=PC)
  constant ctrl_alu_opa_mux_msb_c : natural := 23; -- operand A select msb (1-=CSR)
  constant ctrl_alu_opa_mux_msb_c : natural := 23; -- operand A select msb (1-=CSR)
  constant ctrl_alu_opb_mux_c     : natural := 24; -- operand B select (0=rs2, 1=IMM)
  constant ctrl_alu_opb_mux_c     : natural := 24; -- operand B select (0=rs2, 1=IMM)
  constant ctrl_alu_opc_mux_c     : natural := 25; -- operand C select (0=IMM, 1=rs2)
  constant ctrl_alu_opc_mux_c     : natural := 25; -- operand C select (0=rs2, 1=IMM)
  constant ctrl_alu_unsigned_c    : natural := 26; -- is unsigned ALU operation
  constant ctrl_alu_unsigned_c    : natural := 26; -- is unsigned ALU operation
  constant ctrl_alu_shift_dir_c   : natural := 27; -- shift direction (0=left, 1=right)
  constant ctrl_alu_shift_dir_c   : natural := 27; -- shift direction (0=left, 1=right)
  constant ctrl_alu_shift_ar_c    : natural := 28; -- is arithmetic shift
  constant ctrl_alu_shift_ar_c    : natural := 28; -- is arithmetic shift
  -- bus interface --
  -- bus interface --
  constant ctrl_bus_size_lsb_c    : natural := 29; -- transfer size lsb (00=byte, 01=half-word)
  constant ctrl_bus_size_lsb_c    : natural := 29; -- transfer size lsb (00=byte, 01=half-word)
Line 198... Line 198...
  constant ctrl_bus_if_c          : natural := 33; -- instruction fetch request
  constant ctrl_bus_if_c          : natural := 33; -- instruction fetch request
  constant ctrl_bus_mar_we_c      : natural := 34; -- memory address register write enable
  constant ctrl_bus_mar_we_c      : natural := 34; -- memory address register write enable
  constant ctrl_bus_mdo_we_c      : natural := 35; -- memory data out register write enable
  constant ctrl_bus_mdo_we_c      : natural := 35; -- memory data out register write enable
  constant ctrl_bus_mdi_we_c      : natural := 36; -- memory data in register write enable
  constant ctrl_bus_mdi_we_c      : natural := 36; -- memory data in register write enable
  constant ctrl_bus_unsigned_c    : natural := 37; -- is unsigned load
  constant ctrl_bus_unsigned_c    : natural := 37; -- is unsigned load
  constant ctrl_bus_ierr_ack_c    : natural := 38; -- acknowledge instruction fetch bus exception
  constant ctrl_bus_ierr_ack_c    : natural := 38; -- acknowledge instruction fetch bus exceptions
  constant ctrl_bus_derr_ack_c    : natural := 39; -- acknowledge data access bus exception
  constant ctrl_bus_derr_ack_c    : natural := 39; -- acknowledge data access bus exceptions
  constant ctrl_bus_fence_c       : natural := 40; -- executed fence operation
  constant ctrl_bus_fence_c       : natural := 40; -- executed fence operation
  constant ctrl_bus_fencei_c      : natural := 41; -- executed fencei operation
  constant ctrl_bus_fencei_c      : natural := 41; -- executed fencei operation
  -- co-processor --
  -- co-processors --
  constant ctrl_cp_use_c          : natural := 42; -- is cp operation
  constant ctrl_cp_use_c          : natural := 42; -- is cp operation
  constant ctrl_cp_id_lsb_c       : natural := 43; -- cp select ID lsb
  constant ctrl_cp_id_lsb_c       : natural := 43; -- cp select ID lsb
  constant ctrl_cp_id_msb_c       : natural := 44; -- cp select ID msb
  constant ctrl_cp_id_msb_c       : natural := 44; -- cp select ID msb
  constant ctrl_cp_cmd0_c         : natural := 45; -- cp command bit 0
  constant ctrl_cp_cmd0_c         : natural := 45; -- cp command bit 0
  constant ctrl_cp_cmd1_c         : natural := 46; -- cp command bit 1
  constant ctrl_cp_cmd1_c         : natural := 46; -- cp command bit 1

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.