OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [rtl/] [core/] [neorv32_wdt.vhd] - Diff between revs 68 and 69

Show entire file | Details | Blame | View Log

Rev 68 Rev 69
Line 46... Line 46...
 
 
library neorv32;
library neorv32;
use neorv32.neorv32_package.all;
use neorv32.neorv32_package.all;
 
 
entity neorv32_wdt is
entity neorv32_wdt is
 
  generic (
 
    DEBUG_EN : boolean -- CPU debug mode implemented?
 
  );
  port (
  port (
    -- host access --
    -- host access --
    clk_i       : in  std_ulogic; -- global clock line
    clk_i       : in  std_ulogic; -- global clock line
    rstn_i      : in  std_ulogic; -- global reset line, low-active
    rstn_i      : in  std_ulogic; -- global reset line, low-active
    addr_i      : in  std_ulogic_vector(31 downto 0); -- address
    addr_i      : in  std_ulogic_vector(31 downto 0); -- address
    rden_i      : in  std_ulogic; -- read enable
    rden_i      : in  std_ulogic; -- read enable
    wren_i      : in  std_ulogic; -- write enable
    wren_i      : in  std_ulogic; -- write enable
    data_i      : in  std_ulogic_vector(31 downto 0); -- data in
    data_i      : in  std_ulogic_vector(31 downto 0); -- data in
    data_o      : out std_ulogic_vector(31 downto 0); -- data out
    data_o      : out std_ulogic_vector(31 downto 0); -- data out
    ack_o       : out std_ulogic; -- transfer acknowledge
    ack_o       : out std_ulogic; -- transfer acknowledge
 
    -- CPU in debug mode? --
 
    cpu_debug_i : in  std_ulogic;
    -- clock generator --
    -- clock generator --
    clkgen_en_o : out std_ulogic; -- enable clock generator
    clkgen_en_o : out std_ulogic; -- enable clock generator
    clkgen_i    : in  std_ulogic_vector(07 downto 0);
    clkgen_i    : in  std_ulogic_vector(07 downto 0);
    -- timeout event --
    -- timeout event --
    irq_o       : out std_ulogic; -- timeout IRQ
    irq_o       : out std_ulogic; -- timeout IRQ
Line 81... Line 86...
  constant ctrl_mode_c    : natural := 4; -- r/w: 0: WDT timeout triggers interrupt, 1: WDT timeout triggers hard reset
  constant ctrl_mode_c    : natural := 4; -- r/w: 0: WDT timeout triggers interrupt, 1: WDT timeout triggers hard reset
  constant ctrl_rcause_c  : natural := 5; -- r/-: cause of last action (reset/IRQ): 0=external reset, 1=watchdog overflow
  constant ctrl_rcause_c  : natural := 5; -- r/-: cause of last action (reset/IRQ): 0=external reset, 1=watchdog overflow
  constant ctrl_reset_c   : natural := 6; -- -/w: reset WDT if set
  constant ctrl_reset_c   : natural := 6; -- -/w: reset WDT if set
  constant ctrl_force_c   : natural := 7; -- -/w: force WDT action
  constant ctrl_force_c   : natural := 7; -- -/w: force WDT action
  constant ctrl_lock_c    : natural := 8; -- r/w: lock access to control register when set
  constant ctrl_lock_c    : natural := 8; -- r/w: lock access to control register when set
 
  constant ctrl_dben_c    : natural :=  9; -- r/w: allow WDT to continue operation even when in debug mode
 
  constant ctrl_half_c    : natural := 10; -- r/-: set if at least half of the max. timeout counter value has been reached
 
 
  -- access control --
  -- access control --
  signal acc_en : std_ulogic; -- module access enable
  signal acc_en : std_ulogic; -- module access enable
  signal wren   : std_ulogic;
  signal wren   : std_ulogic;
  signal rden   : std_ulogic;
  signal rden   : std_ulogic;
 
 
  -- control register --
  -- control register --
  type ctrl_reg_t is record
  type ctrl_t is record
    enable  : std_ulogic; -- 1=WDT enabled
    enable  : std_ulogic; -- 1=WDT enabled
    clk_sel : std_ulogic_vector(2 downto 0);
    clk_sel : std_ulogic_vector(2 downto 0);
    mode    : std_ulogic; -- 0=trigger IRQ on overflow; 1=trigger hard reset on overflow
    mode    : std_ulogic; -- 0=trigger IRQ on overflow; 1=trigger hard reset on overflow
    rcause  : std_ulogic; -- cause of last system reset: '0' = external, '1' = watchdog
    rcause  : std_ulogic; -- cause of last system reset: '0' = external, '1' = watchdog
    reset   : std_ulogic; -- reset WDT
    reset   : std_ulogic; -- reset WDT
    enforce : std_ulogic; -- force action
    enforce : std_ulogic; -- force action
    lock    : std_ulogic; -- lock control register
    lock    : std_ulogic; -- lock control register
 
    dben    : std_ulogic; -- allow operation also in debug mode
  end record;
  end record;
  signal ctrl_reg : ctrl_reg_t;
  signal ctrl : ctrl_t;
 
 
  -- prescaler clock generator --
  -- prescaler clock generator --
  signal prsc_tick : std_ulogic;
  signal prsc_tick : std_ulogic;
 
 
  -- WDT core --
  -- WDT core --
  signal wdt_cnt : std_ulogic_vector(20 downto 0);
  signal wdt_cnt : std_ulogic_vector(20 downto 0);
  signal hw_rst  : std_ulogic;
  signal hw_rst  : std_ulogic;
  signal rst_gen : std_ulogic_vector(03 downto 0);
  signal rst_gen : std_ulogic_vector(03 downto 0);
 
  signal cnt_en  : std_ulogic;
 
 
  -- internal reset (sync, low-active) --
  -- internal reset (sync, low-active) --
  signal rstn_sync : std_ulogic;
  signal rstn_sync : std_ulogic;
 
 
  -- cpu interrupt --
 
  type cpu_irq_t is record
 
    pending : std_ulogic;
 
    set     : std_ulogic;
 
    clr     : std_ulogic;
 
  end record;
 
  signal cpu_irq : cpu_irq_t;
 
 
 
begin
begin
 
 
  -- Access Control -------------------------------------------------------------------------
  -- Access Control -------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = wdt_base_c(hi_abb_c downto lo_abb_c)) else '0';
  acc_en <= '1' when (addr_i(hi_abb_c downto lo_abb_c) = wdt_base_c(hi_abb_c downto lo_abb_c)) else '0';
Line 132... Line 133...
  -- Write Access ---------------------------------------------------------------------------
  -- Write Access ---------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  write_access: process(rstn_i, clk_i)
  write_access: process(rstn_i, clk_i)
  begin
  begin
    if (rstn_i = '0') then
    if (rstn_i = '0') then
      ctrl_reg.reset   <= '0';
      ctrl.reset   <= '1'; -- reset counter on start-up
      ctrl_reg.enforce <= '0';
      ctrl.enforce <= '0';
      ctrl_reg.enable  <= '0'; -- disable WDT
      ctrl.enable  <= '0'; -- disable WDT
      ctrl_reg.mode    <= '0'; -- trigger interrupt on WDT overflow
      ctrl.mode    <= '0';
      ctrl_reg.clk_sel <= (others => '1'); -- slowest clock source
      ctrl.clk_sel <= (others => '0');
      ctrl_reg.lock    <= '0';
      ctrl.lock    <= '0';
 
      ctrl.dben    <= '0';
    elsif rising_edge(clk_i) then
    elsif rising_edge(clk_i) then
      -- acknowledge interrupt when resetting WDT --
 
      if (rstn_sync = '0') then -- internal reset
      if (rstn_sync = '0') then -- internal reset
        ctrl_reg.reset   <= '0';
        ctrl.reset   <= '1'; -- reset counter on start-up
        ctrl_reg.enforce <= '0';
        ctrl.enforce <= '0';
        ctrl_reg.enable  <= '0'; -- disable WDT
        ctrl.enable  <= '0'; -- disable WDT
        ctrl_reg.mode    <= '0'; -- trigger interrupt on WDT overflow
        ctrl.mode    <= '0';
        ctrl_reg.clk_sel <= (others => '1'); -- slowest clock source
        ctrl.clk_sel <= (others => '0');
        ctrl_reg.lock    <= '0';
        ctrl.lock    <= '0';
 
        ctrl.dben    <= '0';
      else
      else
        -- auto-clear WDT reset and WDT force flags --
        -- auto-clear WDT reset and WDT force flags --
        ctrl_reg.reset   <= '0';
        ctrl.reset   <= '0';
        ctrl_reg.enforce <= '0';
        ctrl.enforce <= '0';
        -- actual write access --
        -- actual write access --
        if (wren = '1') then
        if (wren = '1') then
          ctrl_reg.reset   <= data_i(ctrl_reset_c);
          ctrl.reset   <= data_i(ctrl_reset_c);
          ctrl_reg.enforce <= data_i(ctrl_force_c);
          ctrl.enforce <= data_i(ctrl_force_c);
          if (ctrl_reg.lock = '0') then -- update configuration only if unlocked
          if (ctrl.lock = '0') then -- update configuration only if not locked
            ctrl_reg.enable  <= data_i(ctrl_enable_c);
            ctrl.enable  <= data_i(ctrl_enable_c);
            ctrl_reg.mode    <= data_i(ctrl_mode_c);
            ctrl.mode    <= data_i(ctrl_mode_c);
            ctrl_reg.clk_sel <= data_i(ctrl_clksel2_c downto ctrl_clksel0_c);
            ctrl.clk_sel <= data_i(ctrl_clksel2_c downto ctrl_clksel0_c);
            ctrl_reg.lock    <= data_i(ctrl_lock_c);
            ctrl.lock    <= data_i(ctrl_lock_c);
 
            ctrl.dben    <= data_i(ctrl_dben_c) and bool_to_ulogic_f(DEBUG_EN);
          end if;
          end if;
        end if;
        end if;
      end if;
      end if;
    end if;
    end if;
  end process write_access;
  end process write_access;
 
 
  -- clock generator --
  -- clock generator --
  clkgen_en_o <= ctrl_reg.enable; -- enable clock generator
  clkgen_en_o <= ctrl.enable; -- enable clock generator
  prsc_tick   <= clkgen_i(to_integer(unsigned(ctrl_reg.clk_sel))); -- clock enable tick
  prsc_tick   <= clkgen_i(to_integer(unsigned(ctrl.clk_sel))); -- clock enable tick
 
 
 
 
  -- Watchdog Counter -----------------------------------------------------------------------
  -- Watchdog Counter -----------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  wdt_counter: process(clk_i)
  wdt_counter: process(clk_i)
  begin
  begin
    if rising_edge(clk_i) then
    if rising_edge(clk_i) then
      if (ctrl_reg.reset = '1') then -- watchdog reset
      if (ctrl.reset = '1') then -- watchdog reset
        wdt_cnt <= (others => '0');
        wdt_cnt <= (others => '0');
      elsif (ctrl_reg.enable = '1') and (prsc_tick = '1') then
      elsif (cnt_en = '1') then
        wdt_cnt <= std_ulogic_vector(unsigned(wdt_cnt) + 1);
        wdt_cnt <= std_ulogic_vector(unsigned('0' & wdt_cnt(wdt_cnt'left-1 downto 0)) + 1);
      end if;
      end if;
    end if;
    end if;
  end process wdt_counter;
  end process wdt_counter;
 
 
  -- action trigger --
  -- WDT counter enable --
  cpu_irq.set <= ctrl_reg.enable and (wdt_cnt(wdt_cnt'left) or ctrl_reg.enforce) and (not ctrl_reg.mode); -- mode 0: IRQ
  cnt_en <= ctrl.enable and prsc_tick and ((not cpu_debug_i) or ctrl.dben);
  cpu_irq.clr <= ctrl_reg.reset; -- ack IRQ on WDT reset
 
  hw_rst      <= ctrl_reg.enable and (wdt_cnt(wdt_cnt'left) or ctrl_reg.enforce) and (    ctrl_reg.mode); -- mode 1: RESET
 
 
 
 
 
  -- Interrupt ------------------------------------------------------------------------------
  -- action trigger --
  -- -------------------------------------------------------------------------------------------
  irq_o  <= ctrl.enable and (wdt_cnt(wdt_cnt'left) or ctrl.enforce) and (not ctrl.mode); -- mode 0: IRQ
  irq_gen: process(clk_i)
  hw_rst <= ctrl.enable and (wdt_cnt(wdt_cnt'left) or ctrl.enforce) and (    ctrl.mode); -- mode 1: RESET
  begin
 
    if rising_edge(clk_i) then
 
      if (ctrl_reg.enable = '0') then
 
        cpu_irq.pending <= '0';
 
      else
 
        if (cpu_irq.set = '1') then
 
          cpu_irq.pending <= '1';
 
        elsif(cpu_irq.clr = '1') then
 
          cpu_irq.pending <= '0';
 
        else
 
          cpu_irq.pending <= cpu_irq.pending;
 
        end if;
 
      end if;
 
    end if;
 
  end process irq_gen;
 
 
 
  -- CPU IRQ --
 
  irq_o <= cpu_irq.pending;
 
 
 
 
 
  -- Reset Generator & Action Cause Indicator -----------------------------------------------
  -- Reset Generator & Action Cause Indicator -----------------------------------------------
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  reset_generator: process(rstn_i, clk_i)
  reset_generator: process(rstn_i, clk_i)
  begin
  begin
    if (rstn_i = '0') then
    if (rstn_i = '0') then
      ctrl_reg.rcause <= '0';
      ctrl.rcause <= '0';
      rst_gen         <= (others => '1'); -- do NOT fire on reset!
      rst_gen         <= (others => '1'); -- do NOT fire on reset!
      rstn_sync       <= '1';
      rstn_sync       <= '1';
    elsif rising_edge(clk_i) then
    elsif rising_edge(clk_i) then
      ctrl_reg.rcause <= ctrl_reg.rcause or hw_rst; -- sticky-set on WDT timeout/force
      ctrl.rcause <= ctrl.rcause or hw_rst; -- sticky-set on WDT timeout/force
      if (hw_rst = '1') then
      if (hw_rst = '1') then
        rst_gen <= (others => '0');
        rst_gen <= (others => '0');
      else
      else
        rst_gen <= rst_gen(rst_gen'left-1 downto 0) & '1';
        rst_gen <= rst_gen(rst_gen'left-1 downto 0) & '1';
      end if;
      end if;
Line 243... Line 225...
  read_access: process(clk_i)
  read_access: process(clk_i)
  begin
  begin
    if rising_edge(clk_i) then
    if rising_edge(clk_i) then
      ack_o  <= rden or wren;
      ack_o  <= rden or wren;
      if (rden = '1') then
      if (rden = '1') then
        data_o(ctrl_enable_c) <= ctrl_reg.enable;
        data_o(ctrl_enable_c) <= ctrl.enable;
        data_o(ctrl_mode_c)   <= ctrl_reg.mode;
        data_o(ctrl_mode_c)   <= ctrl.mode;
        data_o(ctrl_rcause_c) <= ctrl_reg.rcause;
        data_o(ctrl_rcause_c) <= ctrl.rcause;
        data_o(ctrl_clksel2_c downto ctrl_clksel0_c) <= ctrl_reg.clk_sel;
        data_o(ctrl_clksel2_c downto ctrl_clksel0_c) <= ctrl.clk_sel;
        data_o(ctrl_lock_c)   <= ctrl_reg.lock;
        data_o(ctrl_lock_c)   <= ctrl.lock;
 
        data_o(ctrl_dben_c)   <= ctrl.dben;
 
        data_o(ctrl_half_c)   <= wdt_cnt(wdt_cnt'left-1);
      else
      else
        data_o <= (others => '0');
        data_o <= (others => '0');
      end if;
      end if;
    end if;
    end if;
  end process read_access;
  end process read_access;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.