OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [neorv32_tb.vhd] - Diff between revs 19 and 22

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 19 Rev 22
Line 79... Line 79...
  signal uart_rx_sreg     : std_ulogic_vector(08 downto 0) := (others => '0');
  signal uart_rx_sreg     : std_ulogic_vector(08 downto 0) := (others => '0');
  signal uart_rx_baud_cnt : real;
  signal uart_rx_baud_cnt : real;
  signal uart_rx_bitcnt   : natural;
  signal uart_rx_bitcnt   : natural;
 
 
  -- gpio --
  -- gpio --
  signal gpio : std_ulogic_vector(15 downto 0);
  signal gpio : std_ulogic_vector(31 downto 0);
 
 
  -- twi --
  -- twi --
  signal twi_scl, twi_sda : std_logic;
  signal twi_scl, twi_sda : std_logic;
 
 
  -- spi --
  -- spi --

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.