OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sim/] [neorv32_tb.vhd] - Diff between revs 3 and 6

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 3 Rev 6
Line 124... Line 124...
  -- -------------------------------------------------------------------------------------------
  -- -------------------------------------------------------------------------------------------
  neorv32_top_inst: neorv32_top
  neorv32_top_inst: neorv32_top
  generic map (
  generic map (
    -- General --
    -- General --
    CLOCK_FREQUENCY           => f_clock_nat_c, -- clock frequency of clk_i in Hz
    CLOCK_FREQUENCY           => f_clock_nat_c, -- clock frequency of clk_i in Hz
    HART_ID                   => x"ABCD1234",   -- custom hardware thread ID
    HART_ID                   => x"00000000",   -- custom hardware thread ID
    BOOTLOADER_USE            => false,         -- implement processor-internal bootloader?
    BOOTLOADER_USE            => false,         -- implement processor-internal bootloader?
 
    CSR_COUNTERS_USE          => true,          -- implement RISC-V perf. counters ([m]instret[h], [m]cycle[h], time[h])?
    -- RISC-V CPU Extensions --
    -- RISC-V CPU Extensions --
    CPU_EXTENSION_RISCV_C     => true,          -- implement compressed extension?
    CPU_EXTENSION_RISCV_C     => true,          -- implement compressed extension?
    CPU_EXTENSION_RISCV_E     => false,         -- implement embedded RF extension?
    CPU_EXTENSION_RISCV_E     => false,         -- implement embedded RF extension?
    CPU_EXTENSION_RISCV_M     => true,          -- implement muld/div extension?
    CPU_EXTENSION_RISCV_M     => true,          -- implement muld/div extension?
    CPU_EXTENSION_RISCV_Zicsr => true,          -- implement CSR system?
    CPU_EXTENSION_RISCV_Zicsr => true,          -- implement CSR system?
Line 179... Line 180...
    gpio_i     => gpio,            -- parallel input
    gpio_i     => gpio,            -- parallel input
    -- UART --
    -- UART --
    uart_txd_o => uart_txd,        -- UART send data
    uart_txd_o => uart_txd,        -- UART send data
    uart_rxd_i => uart_txd,        -- UART receive data
    uart_rxd_i => uart_txd,        -- UART receive data
    -- SPI --
    -- SPI --
    spi_sclk_o => open,            -- serial clock line
    spi_sck_o  => open,            -- SPI serial clock
    spi_mosi_o => spi_data,        -- serial data line out
    spi_sdo_o  => spi_data,        -- controller data out, peripheral data in
    spi_miso_i => spi_data,        -- serial data line in
    spi_sdi_i  => spi_data,        -- controller data in, peripheral data out
    spi_csn_o  => open,            -- SPI CS
    spi_csn_o  => open,            -- SPI CS
    -- TWI --
    -- TWI --
    twi_sda_io => twi_sda,         -- twi serial data line
    twi_sda_io => twi_sda,         -- twi serial data line
    twi_scl_io => twi_scl,         -- twi serial clock line
    twi_scl_io => twi_scl,         -- twi serial clock line
    -- PWM --
    -- PWM --

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.