OpenCores
URL https://opencores.org/ocsvn/neorv32/neorv32/trunk

Subversion Repositories neorv32

[/] [neorv32/] [trunk/] [sw/] [lib/] [include/] [neorv32_trng.h] - Diff between revs 2 and 23

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 23
Line 44... Line 44...
#ifndef neorv32_trng_h
#ifndef neorv32_trng_h
#define neorv32_trng_h
#define neorv32_trng_h
 
 
// prototypes
// prototypes
int neorv32_trng_available(void);
int neorv32_trng_available(void);
int neorv32_trng_setup(uint16_t tap_mask);
void neorv32_trng_enable(void);
uint16_t neorv32_trng_find_tap_mask(void);
 
void neorv32_trng_disable(void);
void neorv32_trng_disable(void);
int neorv32_trng_get(uint16_t *data);
int neorv32_trng_get(uint8_t *data);
 
 
#endif // neorv32_trng_h
#endif // neorv32_trng_h
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.