OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [adc128s022.vhd] - Diff between revs 315 and 317

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 315 Rev 317
Line 44... Line 44...
);
);
port(
port(
  Clock                      : in  std_logic;
  Clock                      : in  std_logic;
  Reset                      : in  std_logic;
  Reset                      : in  std_logic;
  --
  --
  Reinit                     : in  std_logic;
  Reinit                     : in  std_logic := '0'; -- Optional sync reset
  --
  --
  RAW_Channel                : out std_logic_vector(2 downto 0);
  RAW_Channel                : out std_logic_vector(2 downto 0);
  RAW_Data                   : out std_logic_vector(15 downto 0);
  RAW_Data                   : out std_logic_vector(15 downto 0);
  RAW_Valid                  : out std_logic;
  RAW_Valid                  : out std_logic;
  --
  --

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.