OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_hd44780_if.vhd] - Diff between revs 286 and 287

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 286 Rev 287
Line 82... Line 82...
-- T  = New Haven Only - Changes the character set (see data sheet)
-- T  = New Haven Only - Changes the character set (see data sheet)
--
--
-- Revision History
-- Revision History
-- Author          Date     Change
-- Author          Date     Change
------------------ -------- ---------------------------------------------------
------------------ -------- ---------------------------------------------------
-- Seth Henry      04/12/13 Design Start
-- Seth Henry      04/12/21 Design Start
 
 
 
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_unsigned.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.