OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_register_wide.vhd] - Diff between revs 249 and 279

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 249 Rev 279
Line 29... Line 29...
--   0x00  AAAAAAAA Registered Outputs                    (RW)
--   0x00  AAAAAAAA Registered Outputs                    (RW)
--
--
-- Revision History
-- Revision History
-- Author          Date     Change
-- Author          Date     Change
------------------ -------- ---------------------------------------------------
------------------ -------- ---------------------------------------------------
-- Seth Henry      05/24/20 Design copied from o8_register
-- Seth Henry      05/24/20 Design copied and modified from o8_register
 
 
library ieee;
library ieee;
  use ieee.std_logic_1164.all;
  use ieee.std_logic_1164.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_unsigned.all;
  use ieee.std_logic_arith.all;
  use ieee.std_logic_arith.all;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.