OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_register_wide.vhd] - Diff between revs 279 and 297

Show entire file | Details | Blame | View Log

Rev 279 Rev 297
Line 24... Line 24...
-- VHDL Units :  o8_register_wide
-- VHDL Units :  o8_register_wide
-- Description:  Provides a single addressible 16-bit output register
-- Description:  Provides a single addressible 16-bit output register
--
--
-- Register Map:
-- Register Map:
-- Offset  Bitfield Description                        Read/Write
-- Offset  Bitfield Description                        Read/Write
--   0x00  AAAAAAAA Registered Outputs                    (RW)
--   0x00  AAAAAAAA Registered Output 0                   (RW)
 
--   0x01  AAAAAAAA Registered Output 1                   (RW)
 
--   0x02  AAAAAAAA Registered Output 2                   (RW)
 
--   0x03  AAAAAAAA Registered Output 3                   (RW)
--
--
-- Revision History
-- Revision History
-- Author          Date     Change
-- Author          Date     Change
------------------ -------- ---------------------------------------------------
------------------ -------- ---------------------------------------------------
-- Seth Henry      05/24/20 Design copied and modified from o8_register
-- Seth Henry      05/24/20 Design copied and modified from o8_register

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.