OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_vdsm8.vhd] - Diff between revs 244 and 268

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 244 Rev 268
Line 45... Line 45...
library work;
library work;
  use work.open8_pkg.all;
  use work.open8_pkg.all;
 
 
entity o8_vdsm8 is
entity o8_vdsm8 is
generic(
generic(
 
  Invert_Output              : boolean := FALSE;
  Default_Value              : DATA_TYPE := x"00";
  Default_Value              : DATA_TYPE := x"00";
  Address                    : ADDRESS_TYPE
  Address                    : ADDRESS_TYPE
);
);
port(
port(
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
Line 75... Line 76...
  signal Rd_En_d             : std_logic := '0';
  signal Rd_En_d             : std_logic := '0';
  signal Rd_En_q             : std_logic := '0';
  signal Rd_En_q             : std_logic := '0';
 
 
  signal Reg_Out             : DATA_TYPE;
  signal Reg_Out             : DATA_TYPE;
 
 
 
  signal DACout_pre          : std_logic;
 
 
begin
begin
 
 
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
  Wr_En_d                    <= Addr_Match and Open8_Bus.Wr_En and Write_Qual;
  Wr_En_d                    <= Addr_Match and Open8_Bus.Wr_En and Write_Qual;
  Rd_En_d                    <= Addr_Match and Open8_Bus.Rd_En;
  Rd_En_d                    <= Addr_Match and Open8_Bus.Rd_En;
Line 112... Line 115...
  )
  )
  port map(
  port map(
    Clock                    => Clock,
    Clock                    => Clock,
    Reset                    => Reset,
    Reset                    => Reset,
    DACin                    => Reg_Out,
    DACin                    => Reg_Out,
    DACout                   => DACout
    DACout                   => DACout_pre
  );
  );
 
 
 
  DACout                     <= (not DACout_pre) when Invert_Output else
 
                                DACout_pre;
 
 
end architecture;
end architecture;
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.