OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_version.vhd] - Diff between revs 334 and 335

Show entire file | Details | Blame | View Log

Rev 334 Rev 335
Line 45... Line 45...
library work;
library work;
  use work.open8_pkg.all;
  use work.open8_pkg.all;
 
 
entity o8_version is
entity o8_version is
generic(
generic(
  Minor_Version              : DATA_TYPE := x"00";
  Minor_Version              : integer range 0 to 255 := 0;
  Major_Version              : DATA_TYPE := x"00";
  Major_Version              : integer range 0 to 255 := 0;
  SoC_Version                : DATA_TYPE := x"00";
  SoC_Version                : integer range 0 to 255 := 0;
  Hardware_Version           : DATA_TYPE := x"00";
  Hardware_Version           : integer range 0 to 255 := 0;
  Address                    : ADDRESS_TYPE
  Address                    : ADDRESS_TYPE
);
);
port(
port(
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
  Rd_Data                    : out DATA_TYPE
  Rd_Data                    : out DATA_TYPE
Line 92... Line 92...
      Rd_En_q                <= Rd_En_d;
      Rd_En_q                <= Rd_En_d;
 
 
      if( Rd_En_q = '1' )then
      if( Rd_En_q = '1' )then
        case( Reg_Sel_q )is
        case( Reg_Sel_q )is
          when "00" =>
          when "00" =>
            Rd_Data          <= Minor_Version;
            Rd_Data          <= conv_std_logic_vector(Minor_Version,OPEN8_DATA_WIDTH);
          when "01" =>
          when "01" =>
            Rd_Data          <= Major_Version;
            Rd_Data          <= conv_std_logic_vector(Major_Version,OPEN8_DATA_WIDTH);
          when "10" =>
          when "10" =>
            Rd_Data          <= Soc_Version;
            Rd_Data          <= conv_std_logic_vector(Soc_Version,OPEN8_DATA_WIDTH);
          when "11" =>
          when "11" =>
            Rd_Data          <= Hardware_Version;
            Rd_Data          <= conv_std_logic_vector(Hardware_Version,OPEN8_DATA_WIDTH);
          when others =>
          when others =>
            null;
            null;
        end case;
        end case;
 
 
      end if;
      end if;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.