OpenCores
URL https://opencores.org/ocsvn/openhmc/openhmc/trunk

Subversion Repositories openhmc

[/] [openhmc/] [trunk/] [openHMC/] [sim/] [UVC/] [axi4_stream/] [sv/] [axi4_stream_slave_agent.sv] - Diff between revs 12 and 15

Show entire file | Details | Blame | View Log

Rev 12 Rev 15
Line 62... Line 62...
                end else begin
                end else begin
                        `uvm_fatal(get_type_name(),"vif is not set")
                        `uvm_fatal(get_type_name(),"vif is not set")
                end
                end
                if (!uvm_config_db#(axi4_stream_config)::get(this, "", "axi4_stream_cfg", axi4_stream_cfg)) begin
                if (!uvm_config_db#(axi4_stream_config)::get(this, "", "axi4_stream_cfg", axi4_stream_cfg)) begin
                        uvm_report_fatal(get_type_name(), $psprintf("axi4_stream_cfg not set via config_db"));
                        uvm_report_fatal(get_type_name(), $psprintf("axi4_stream_cfg not set via config_db"));
 
                end else begin
 
                        uvm_config_db#(axi4_stream_config)::set(this, "driver"  , "axi4_stream_cfg", axi4_stream_cfg);                  //distributing axi4_stream_cfg to master driver
                end
                end
 
 
                if(axi4_stream_cfg.slave_active == UVM_ACTIVE)begin
                if(axi4_stream_cfg.slave_active == UVM_ACTIVE)begin
                        uvm_config_db#(axi4_stream_config)::set(this, "driver", "axi4_stream_cfg", axi4_stream_cfg);            // distribute axi4_stream_cfg to driver
                        uvm_config_db#(axi4_stream_config)::set(this, "driver", "axi4_stream_cfg", axi4_stream_cfg);            // distribute axi4_stream_cfg to driver
                        driver        = axi4_stream_slave_driver#(.DATA_BYTES(DATA_BYTES), .TUSER_WIDTH(TUSER_WIDTH))::type_id::create("driver", this);
                        driver        = axi4_stream_slave_driver#(.DATA_BYTES(DATA_BYTES), .TUSER_WIDTH(TUSER_WIDTH))::type_id::create("driver", this);
                end
                end
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.