OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [sim/] [rtl_sim/] [bin/] [rtlsim.sh] - Diff between revs 73 and 94

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 73 Rev 94
Line 25... Line 25...
#
#
# File Name: rtlsim.sh
# File Name: rtlsim.sh
#
#
# Author(s):
# Author(s):
#             - Olivier Girard,    olgirard@gmail.com
#             - Olivier Girard,    olgirard@gmail.com
 
#             - Mihai M.,          mmihai@delajii.net
#
#
#------------------------------------------------------------------------------
#------------------------------------------------------------------------------
# $Rev: 73 $
# $Rev: 94 $
# $LastChangedBy: olivier.girard $
# $LastChangedBy: olivier.girard $
# $LastChangedDate: 2010-08-03 21:26:39 +0200 (Tue, 03 Aug 2010) $
# $LastChangedDate: 2011-02-24 21:33:35 +0100 (Thu, 24 Feb 2011) $
#------------------------------------------------------------------------------
#------------------------------------------------------------------------------
 
 
###############################################################################
###############################################################################
#                            Parameter Check                                  #
#                            Parameter Check                                  #
###############################################################################
###############################################################################
EXPECTED_ARGS=3
EXPECTED_ARGS=3
if [ $# -ne $EXPECTED_ARGS ]; then
if [ $# -ne $EXPECTED_ARGS ]; then
  echo "ERROR    : wrong number of arguments"
  echo "ERROR    : wrong number of arguments"
  echo "USAGE    : rtlsim.sh <verilog stimulus file> <memory file> <submit file>"
  echo "USAGE    : rtlsim.sh <verilog stimulus file> <memory file> <submit file>"
  echo "Example  : rtlsim.sh ./stimulus.v            pmem.mem      ../src/submit.f"
  echo "Example  : rtlsim.sh ./stimulus.v            pmem.mem      ../src/submit.f"
 
  echo "MYVLOG env keeps simulator name iverilog/cver/verilog/ncverilog"
  exit 1
  exit 1
fi
fi
 
 
 
 
###############################################################################
###############################################################################
Line 66... Line 68...
 
 
###############################################################################
###############################################################################
#                         Start verilog simulation                            #
#                         Start verilog simulation                            #
###############################################################################
###############################################################################
 
 
 
if [ "${MYVLOG:-iverilog}" = iverilog ]; then
 
 
rm -rf simv
rm -rf simv
 
 
NODUMP=${OMSP_NODUMP-0}
NODUMP=${OMSP_NODUMP-0}
if [ $NODUMP -eq 1 ]
if [ $NODUMP -eq 1 ]
  then
  then
Line 77... Line 81...
  else
  else
    iverilog -o simv -c $3
    iverilog -o simv -c $3
fi
fi
 
 
./simv
./simv
 
else
 
 
 
    NODUMP=${OMSP_NODUMP-0}
 
    if [ $NODUMP -eq 1 ] ; then
 
       vargs="+define+NODUMP"
 
    else
 
       vargs=""
 
    fi
 
 
 
   case $MYVLOG in
 
    cver* )
 
       vargs="$vargs +define+VXL" ;;
 
    verilog* )
 
       vargs="$vargs +define+VXL" ;;
 
    ncverilog* )
 
       vargs="$vargs +access+r" ;;
 
    vsim )
 
       # Modelsim
 
       if [ -d work ]; then  vdel -all; fi
 
       vlib work
 
       exec vlog +acc=prn -f $3 $vargs -R -c -do "run -all"
 
   esac
 
 
 
   echo "Running: $MYVLOG -f $3 $vargs"
 
   exec $MYVLOG -f $3 $vargs
 
fi
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.