OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [sim/] [rtl_sim/] [src/] [sandbox.v] - Diff between revs 55 and 202

Show entire file | Details | Blame | View Log

Rev 55 Rev 202
Line 32... Line 32...
/* $Rev: 19 $                                                                */
/* $Rev: 19 $                                                                */
/* $LastChangedBy: olivier.girard $                                          */
/* $LastChangedBy: olivier.girard $                                          */
/* $LastChangedDate: 2009-08-04 23:47:15 +0200 (Tue, 04 Aug 2009) $          */
/* $LastChangedDate: 2009-08-04 23:47:15 +0200 (Tue, 04 Aug 2009) $          */
/*===========================================================================*/
/*===========================================================================*/
 
 
 
`define LONG_TIMEOUT
 
 
 
integer wait_wr;
 
integer wait_rd;
 
 
initial
initial
   begin
   begin
      $display(" ===============================================");
      $display(" ===============================================");
      $display("|                 START SIMULATION              |");
      $display("|                 START SIMULATION              |");
      $display(" ===============================================");
      $display(" ===============================================");
 
 
      repeat(5) @(posedge mclk);
      repeat(5) @(posedge mclk);
 
 
      stimulus_done = 0;
      stimulus_done = 0;
 
 
 
      wait_wr       = 0;
 
      wait_rd       = 0;
 
 
 
      repeat(50) @(posedge mclk);
 
if (0)
 
  begin
 
      dma_write_16b(16'hF900, 16'h1234);
 
      repeat(wait_wr) @(posedge mclk);
 
      dma_write_16b(16'hF902, 16'h5678);
 
      repeat(wait_wr) @(posedge mclk);
 
      dma_write_16b(16'hF904, 16'h9ABC);
 
      repeat(wait_wr) @(posedge mclk);
 
      dma_write_16b(16'hF906, 16'hDEF0);
 
 
 
      repeat(10) @(posedge mclk);
 
 
 
      dma_read_16b(16'hF900, 16'h1234);
 
      repeat(wait_rd) @(posedge mclk);
 
      dma_read_16b(16'hF902, 16'h5678);
 
      repeat(wait_rd) @(posedge mclk);
 
      dma_read_16b(16'hF904, 16'h9ABC);
 
      repeat(wait_rd) @(posedge mclk);
 
      dma_read_16b(16'hF906, 16'hDEF0);
 
  end
 
 
 
      repeat(50) @(posedge mclk);
 
 
      stimulus_done = 1;
      stimulus_done = 1;
   end
   end
 
 
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.