OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [or1200/] [rtl/] [verilog/] [or1200_wbmux.v] - Diff between revs 142 and 185

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 142 Rev 185
Line 1... Line 1...
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
////  OR1200's Write-back Mux                                     ////
////  OR1200's Write-back Mux                                     ////
////                                                              ////
////                                                              ////
////  This file is part of the OpenRISC 1200 project              ////
////  This file is part of the OpenRISC 1200 project              ////
////  http://www.opencores.org/cores/or1k/                        ////
////  http://www.opencores.org/project,or1k                       ////
////                                                              ////
////                                                              ////
////  Description                                                 ////
////  Description                                                 ////
////  CPU's write-back stage of the pipeline                      ////
////  CPU's write-back stage of the pipeline                      ////
////                                                              ////
////                                                              ////
////  To Do:                                                      ////
////  To Do:                                                      ////
Line 39... Line 39...
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
//
//
// CVS Revision History
 
//
//
// $Log: or1200_wbmux.v,v $
// $Log: or1200_wbmux.v,v $
// Revision 2.0  2010/06/30 11:00:00  ORSoC
// Revision 2.0  2010/06/30 11:00:00  ORSoC
// No update 
// No update 
//
 
// Revision 1.3  2004/06/08 18:17:36  lampret
 
// Non-functional changes. Coding style fixes.
 
//
 
// Revision 1.2  2002/03/29 15:16:56  lampret
 
// Some of the warnings fixed.
 
//
 
// Revision 1.1  2002/01/03 08:16:15  lampret
 
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
 
//
 
// Revision 1.8  2001/10/21 17:57:16  lampret
 
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
 
//
 
// Revision 1.7  2001/10/14 13:12:10  lampret
 
// MP3 version.
 
//
 
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
 
// no message
 
//
 
// Revision 1.2  2001/08/09 13:39:33  lampret
 
// Major clean-up.
 
//
 
// Revision 1.1  2001/07/20 00:46:23  lampret
 
// Development version of RTL. Libraries are missing.
 
//
 
//
 
 
 
// synopsys translate_off
// synopsys translate_off
`include "timescale.v"
`include "timescale.v"
// synopsys translate_on
// synopsys translate_on
`include "or1200_defines.v"
`include "or1200_defines.v"
Line 82... Line 55...
        // Clock and reset
        // Clock and reset
        clk, rst,
        clk, rst,
 
 
        // Internal i/f
        // Internal i/f
        wb_freeze, rfwb_op,
        wb_freeze, rfwb_op,
        muxin_a, muxin_b, muxin_c, muxin_d,
        muxin_a, muxin_b, muxin_c, muxin_d, muxin_e,
        muxout, muxreg, muxreg_valid
        muxout, muxreg, muxreg_valid
);
);
 
 
parameter width = `OR1200_OPERAND_WIDTH;
parameter width = `OR1200_OPERAND_WIDTH;
 
 
Line 107... Line 80...
input   [`OR1200_RFWBOP_WIDTH-1:0]       rfwb_op;
input   [`OR1200_RFWBOP_WIDTH-1:0]       rfwb_op;
input   [width-1:0]              muxin_a;
input   [width-1:0]              muxin_a;
input   [width-1:0]              muxin_b;
input   [width-1:0]              muxin_b;
input   [width-1:0]              muxin_c;
input   [width-1:0]              muxin_c;
input   [width-1:0]              muxin_d;
input   [width-1:0]              muxin_d;
 
input   [width-1:0]              muxin_e;
output  [width-1:0]              muxout;
output  [width-1:0]              muxout;
output  [width-1:0]              muxreg;
output  [width-1:0]              muxreg;
output                          muxreg_valid;
output                          muxreg_valid;
 
 
//
//
Line 135... Line 109...
end
end
 
 
//
//
// Write-back multiplexer
// Write-back multiplexer
//
//
always @(muxin_a or muxin_b or muxin_c or muxin_d or rfwb_op) begin
always @(muxin_a or muxin_b or muxin_c or muxin_d or muxin_e or rfwb_op) begin
`ifdef OR1200_ADDITIONAL_SYNOPSYS_DIRECTIVES
`ifdef OR1200_ADDITIONAL_SYNOPSYS_DIRECTIVES
        case(rfwb_op[`OR1200_RFWBOP_WIDTH-1:1]) // synopsys parallel_case infer_mux
        case(rfwb_op[`OR1200_RFWBOP_WIDTH-1:1]) // synopsys parallel_case infer_mux
`else
`else
        case(rfwb_op[`OR1200_RFWBOP_WIDTH-1:1]) // synopsys parallel_case
        case(rfwb_op[`OR1200_RFWBOP_WIDTH-1:1]) // synopsys parallel_case
`endif
`endif
                2'b00: muxout = muxin_a;
                `OR1200_RFWBOP_ALU: muxout = muxin_a;
                2'b01: begin
                `OR1200_RFWBOP_LSU: begin
                        muxout = muxin_b;
                        muxout = muxin_b;
`ifdef OR1200_VERBOSE
`ifdef OR1200_VERBOSE
// synopsys translate_off
// synopsys translate_off
                        $display("  WBMUX: muxin_b %h", muxin_b);
                        $display("  WBMUX: muxin_b %h", muxin_b);
// synopsys translate_on
// synopsys translate_on
`endif
`endif
                end
                end
                2'b10: begin
                `OR1200_RFWBOP_SPRS: begin
                        muxout = muxin_c;
                        muxout = muxin_c;
`ifdef OR1200_VERBOSE
`ifdef OR1200_VERBOSE
// synopsys translate_off
// synopsys translate_off
                        $display("  WBMUX: muxin_c %h", muxin_c);
                        $display("  WBMUX: muxin_c %h", muxin_c);
// synopsys translate_on
// synopsys translate_on
`endif
`endif
                end
                end
                2'b11: begin
                `OR1200_RFWBOP_LR: begin
                        muxout = muxin_d + 32'h8;
                        muxout = muxin_d + 32'h8;
`ifdef OR1200_VERBOSE
`ifdef OR1200_VERBOSE
// synopsys translate_off
// synopsys translate_off
                        $display("  WBMUX: muxin_d %h", muxin_d + 4'h8);
                        $display("  WBMUX: muxin_d %h", muxin_d + 4'h8);
// synopsys translate_on
// synopsys translate_on
`endif
`endif
                end
                end
 
`ifdef OR1200_FPU_IMPLEMENTED
 
                `OR1200_RFWBOP_FPU : begin
 
             muxout = muxin_e;
 
 `ifdef OR1200_VERBOSE
 
// synopsys translate_off
 
                        $display("  WBMUX: muxin_e %h", muxin_e);
 
// synopsys translate_on
 
`endif
 
               end
 
`endif
        endcase
        endcase
end
end
 
 
endmodule
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.