OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [orpsocv2/] [boards/] [xilinx/] [ml501/] [sim/] [bin/] [Makefile] - Diff between revs 412 and 415

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 412 Rev 415
Line 142... Line 142...
COMMON_SW_DIR=$(PROJECT_ROOT)/sw
COMMON_SW_DIR=$(PROJECT_ROOT)/sw
BOARD_SW_DIR=$(BOARD_DIR)/sw
BOARD_SW_DIR=$(BOARD_DIR)/sw
 
 
# BootROM code, which generates a verilog array select values
# BootROM code, which generates a verilog array select values
BOOTROM_FILE=bootrom.v
BOOTROM_FILE=bootrom.v
BOOTROM_SW_DIR=$(BOARD_SW_DIR)/bootrom
BOARD_BOOTROM_SW_DIR=$(BOARD_SW_DIR)/bootrom
BOOTROM_SRC=$(shell ls $(BOOTROM_SW_DIR)/* | grep -v $(BOOTROM_FILE))
BOOTROM_SRC=$(shell ls $(BOARD_BOOTROM_SW_DIR)/* | grep -v $(BOOTROM_FILE))
BOOTROM_VERILOG=$(BOOTROM_SW_DIR)/$(BOOTROM_FILE)
BOOTROM_VERILOG=$(BOARD_BOOTROM_SW_DIR)/$(BOOTROM_FILE)
 
 
bootrom: $(BOOTROM_VERILOG)
bootrom: $(BOOTROM_VERILOG)
 
 
$(BOOTROM_VERILOG): $(BOOTROM_SRC)
$(BOOTROM_VERILOG): $(BOOTROM_SRC)
        $(Q)echo; echo "\t### Generating bootup ROM ###"; echo
        $(Q)echo; echo "\t### Generating bootup ROM ###"; echo
        $(Q)$(MAKE) -C $(BOOTROM_SW_DIR) $(BOOTROM_FILE)
        $(Q)$(MAKE) -C $(BOARD_BOOTROM_SW_DIR) $(BOOTROM_FILE)
 
 
# Suffix of file to check after each test for the string
# Suffix of file to check after each test for the string
TEST_OUT_FILE_SUFFIX=-general.log
TEST_OUT_FILE_SUFFIX=-general.log
TEST_OK_STRING=8000000d
TEST_OK_STRING=8000000d
 
 
# Dynamically generated verilog file defining configuration for various things
# Dynamically generated verilog file defining configuration for various things
# Rule actually generating this is found in definesgen.inc file.
# Rule actually generating this is found in definesgen.inc file.
TEST_DEFINES_VLG=test-defines.v
TEST_DEFINES_VLG=test-defines.v
 
.PHONY: $(TEST_DEFINES_VLG)
# Set V=1 when calling make to enable verbose output
# Set V=1 when calling make to enable verbose output
# mainly for debugging purposes.
# mainly for debugging purposes.
ifeq ($(V), 1)
ifeq ($(V), 1)
Q=
Q=
QUIET=
QUIET=
Line 353... Line 354...
# Modelsim library compilation rules
# Modelsim library compilation rules
#       $(Q)echo "-y " $(BOARD_BACKEND_VERILOG_DIR) >> $@;
#       $(Q)echo "-y " $(BOARD_BACKEND_VERILOG_DIR) >> $@;
# DUT compile script
# DUT compile script
modelsim_dut.scr: rtl $(RTL_VERILOG_SRC) $(RTL_VERILOG_INCLUDES) $(BOOTROM_VERILOG)
modelsim_dut.scr: rtl $(RTL_VERILOG_SRC) $(RTL_VERILOG_INCLUDES) $(BOOTROM_VERILOG)
        $(Q)echo "+incdir+"$(BOARD_RTL_VERILOG_INCLUDE_DIR) > $@;
        $(Q)echo "+incdir+"$(BOARD_RTL_VERILOG_INCLUDE_DIR) > $@;
        $(Q)echo "+incdir+"$(BOOTROM_SW_DIR) >> $@;
        $(Q)echo "+incdir+"$(BOARD_BOOTROM_SW_DIR) >> $@;
        $(Q)echo "+incdir+"$(BOARD_BENCH_VERILOG_INCLUDE_DIR) >> $@;
        $(Q)echo "+incdir+"$(BOARD_BENCH_VERILOG_INCLUDE_DIR) >> $@;
        $(Q)echo "+libext+.v" >> $@;
        $(Q)echo "+libext+.v" >> $@;
        $(Q)for module in $(BOARD_RTL_VERILOG_MODULES); do if [ -d $(BOARD_RTL_VERILOG_DIR)/$$module ]; then echo "-y " $(BOARD_RTL_VERILOG_DIR)/$$module >> $@; fi; done
        $(Q)for module in $(BOARD_RTL_VERILOG_MODULES); do if [ -d $(BOARD_RTL_VERILOG_DIR)/$$module ]; then echo "-y " $(BOARD_RTL_VERILOG_DIR)/$$module >> $@; fi; done
        $(Q)for module in $(COMMON_RTL_VERILOG_MODULES); do if [ -d $(COMMON_RTL_VERILOG_DIR)/$$module ]; then echo "-y " $(COMMON_RTL_VERILOG_DIR)/$$module >> $@; fi; done
        $(Q)for module in $(COMMON_RTL_VERILOG_MODULES); do if [ -d $(COMMON_RTL_VERILOG_DIR)/$$module ]; then echo "-y " $(COMMON_RTL_VERILOG_DIR)/$$module >> $@; fi; done
        $(Q)echo "-y "$(TECHNOLOGY_BACKEND_VERILOG_DIR)"/src/unisims" >> $@;
        $(Q)echo "-y "$(TECHNOLOGY_BACKEND_VERILOG_DIR)"/src/unisims" >> $@;
Line 471... Line 472...
SIM_SW_IMAGE ?=sram.vmem
SIM_SW_IMAGE ?=sram.vmem
else
else
SIM_SW_IMAGE ?=flash.in
SIM_SW_IMAGE ?=flash.in
endif
endif
 
 
 
# Amount to pad the image we'll load into the SPI flash
 
HEX_IMAGE_PADDING ?=0x1c0000
 
 
.PHONY : sw
.PHONY : sw
sw: $(SIM_SW_IMAGE)
sw: $(SIM_SW_IMAGE)
 
 
 
 
flash.in: $(SW_TEST_DIR)/$(TEST).flashin
flash.in: $(SW_TEST_DIR)/$(TEST).flashin
Line 486... Line 490...
        $(Q)ln -s $< $@
        $(Q)ln -s $< $@
 
 
.PHONY: $(SW_TEST_DIR)/$(TEST).flashin
.PHONY: $(SW_TEST_DIR)/$(TEST).flashin
$(SW_TEST_DIR)/$(TEST).flashin:
$(SW_TEST_DIR)/$(TEST).flashin:
        $(Q) echo; echo "\t### Compiling software ###"; echo;
        $(Q) echo; echo "\t### Compiling software ###"; echo;
        $(Q)$(MAKE) -C $(SW_TEST_DIR) $(TEST).flashin
        $(Q)$(MAKE) -C $(SW_TEST_DIR) $(TEST).flashin \
 
                HEX_IMAGE_PADDING=$(HEX_IMAGE_PADDING)
 
 
.PHONY: $(SW_TEST_DIR)/$(TEST).vmem
.PHONY: $(SW_TEST_DIR)/$(TEST).vmem
$(SW_TEST_DIR)/$(TEST).vmem:
$(SW_TEST_DIR)/$(TEST).vmem:
        $(Q) echo; echo "\t### Compiling software ###"; echo;
        $(Q) echo; echo "\t### Compiling software ###"; echo;
        $(Q)$(MAKE) -C $(SW_TEST_DIR) $(TEST).vmem
        $(Q)$(MAKE) -C $(SW_TEST_DIR) $(TEST).vmem
Line 512... Line 517...
        $(Q) echo; echo "\t### Cleaning simulation run directory ###"; echo;
        $(Q) echo; echo "\t### Cleaning simulation run directory ###"; echo;
        $(Q)rm -rf *.* lib_* work transcript check-test-log
        $(Q)rm -rf *.* lib_* work transcript check-test-log
# No VPI support for now.       $(Q) if [ -e $(VPI_SRC_C_DIR) ]; then $(MAKE) -C $(VPI_SRC_C_DIR) clean; fi
# No VPI support for now.       $(Q) if [ -e $(VPI_SRC_C_DIR) ]; then $(MAKE) -C $(VPI_SRC_C_DIR) clean; fi
 
 
clean-bootrom:
clean-bootrom:
        $(MAKE) -C $(BOOTROM_SW_DIR) clean
        $(MAKE) -C $(BOARD_BOOTROM_SW_DIR) clean
 
 
clean-out:
clean-out:
        $(Q)rm -rf $(RTL_SIM_RESULTS_DIR)/*.*
        $(Q)rm -rf $(RTL_SIM_RESULTS_DIR)/*.*
 
 
clean-test-defines:
clean-test-defines:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.