OpenCores
URL https://opencores.org/ocsvn/or1200_soc/or1200_soc/trunk

Subversion Repositories or1200_soc

[/] [or1200_soc/] [trunk/] [boards/] [de1_board/] [libs/] [uart16550.cr.mti] - Diff between revs 21 and 23

Show entire file | Details | Blame | View Log

Rev 21 Rev 23
Line 1... Line 1...
C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_tfifo.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_tfifo.v
C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/raminfr.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/raminfr.v
Model Technology ModelSim ALTERA vlog 6.4a Compiler 2008.08 Oct 22 2008
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_tfifo
-- Compiling module raminfr
 
 
Top level modules:
Top level modules:
        uart_tfifo
        raminfr
 
 
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_sync_flops.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_sync_flops.v
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_sync_flops.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_sync_flops.v
Model Technology ModelSim ALTERA vlog 6.4a Compiler 2008.08 Oct 22 2008
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_sync_flops
-- Compiling module uart_sync_flops
 
 
Top level modules:
Top level modules:
        uart_sync_flops
        uart_sync_flops
 
 
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/raminfr.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/raminfr.v
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_tfifo.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_tfifo.v
Model Technology ModelSim ALTERA vlog 6.4a Compiler 2008.08 Oct 22 2008
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module raminfr
-- Compiling module uart_tfifo
 
 
Top level modules:
Top level modules:
        raminfr
        uart_tfifo
 
 
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_transmitter.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_transmitter.v
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_wb.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_wb.v
Model Technology ModelSim ALTERA vlog 6.4a Compiler 2008.08 Oct 22 2008
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_transmitter
-- Compiling module uart_wb
 
 
Top level modules:
Top level modules:
        uart_transmitter
        uart_wb
 
 
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_regs.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_regs.v
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_debug_if.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_debug_if.v
Model Technology ModelSim ALTERA vlog 6.4a Compiler 2008.08 Oct 22 2008
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_regs
-- Compiling module uart_debug_if
 
 
Top level modules:
Top level modules:
        uart_regs
        uart_debug_if
 
 
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_receiver.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_receiver.v
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_receiver.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_receiver.v
Model Technology ModelSim ALTERA vlog 6.4a Compiler 2008.08 Oct 22 2008
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_receiver
-- Compiling module uart_receiver
 
 
Top level modules:
Top level modules:
        uart_receiver
        uart_receiver
 
 
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_debug_if.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_debug_if.v
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_regs.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_regs.v
Model Technology ModelSim ALTERA vlog 6.4a Compiler 2008.08 Oct 22 2008
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_debug_if
-- Compiling module uart_regs
 
 
Top level modules:
Top level modules:
        uart_debug_if
        uart_regs
 
 
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_wb.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_wb.v
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_transmitter.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_transmitter.v
Model Technology ModelSim ALTERA vlog 6.4a Compiler 2008.08 Oct 22 2008
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_wb
-- Compiling module uart_transmitter
 
 
Top level modules:
Top level modules:
        uart_wb
        uart_transmitter
 
 
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_rfifo.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_rfifo.v
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_rfifo.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_rfifo.v
Model Technology ModelSim ALTERA vlog 6.4a Compiler 2008.08 Oct 22 2008
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_rfifo
-- Compiling module uart_rfifo
 
 
Top level modules:
Top level modules:
        uart_rfifo
        uart_rfifo
 
 
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_top.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_top.v
} {} {}} C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_top.v {1 {vlog -work uart16550 +incdir+../src -nocovercells C:/qaz/_CVS_WORK/units/uart16550/rtl/verilog/uart_top.v
Model Technology ModelSim ALTERA vlog 6.4a Compiler 2008.08 Oct 22 2008
Model Technology ModelSim ALTERA vlog 6.5b Compiler 2009.10 Oct  1 2009
-- Compiling module uart_top
-- Compiling module uart_top
 
 
Top level modules:
Top level modules:
        uart_top
        uart_top
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.