OpenCores
URL https://opencores.org/ocsvn/parallel_search_for_maximum_weight/parallel_search_for_maximum_weight/trunk

Subversion Repositories parallel_search_for_maximum_weight

[/] [parallel_search_for_maximum_weight/] [trunk/] [src/] [Result.vhd] - Diff between revs 2 and 8

Show entire file | Details | Blame | View Log

Rev 2 Rev 8
Line 1... Line 1...
 
library ieee;
 
use ieee.std_logic_1164.all;
 
use work.basic_size.all;
 
entity Result is
 
GENERIC (Cell_count :  Natural:=5);
 
port(
 
        i1:     in std_logic_vector(Cell_count-1 downto 0);
 
        i2:     in std_logic_vector(Cell_count-1  downto 0);
 
                choose_sel : In  std_logic_vector(Cell_count-1 downto 0);
 
        o:      out std_logic_vector(Cell_count-1 downto 0 )
 
);
 
end Result;
 
architecture behav of Result  is
 
 
 No newline at end of file
 No newline at end of file
 
 
 
begin
 
 
 
--Result
 
 out_g : for i in 0  to Cell_count-1 generate
 
                with choose_sel(i) select
 
                     o(i) <= i1(i) when '1' , i2(i) when '0';
 
                end generate out_g;
 
 
 
 
 
end behav;
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.