OpenCores
URL https://opencores.org/ocsvn/pdp1/pdp1/trunk

Subversion Repositories pdp1

[/] [pdp1/] [trunk/] [rtl/] [vhdl/] [top.vhd] - Diff between revs 3 and 9

Show entire file | Details | Blame | View Log

Rev 3 Rev 9
Line 134... Line 134...
           IODOPULSE : out STD_LOGIC;
           IODOPULSE : out STD_LOGIC;
           IODONE : in STD_LOGIC;
           IODONE : in STD_LOGIC;
           IO_set : in STD_LOGIC;
           IO_set : in STD_LOGIC;
           IO_IN : in STD_LOGIC_VECTOR(0 to 17);
           IO_IN : in STD_LOGIC_VECTOR(0 to 17);
 
 
           PC : inout unsigned(0 to 11);  -- program counter
           PC : inout std_logic_vector(0 to 11);  -- program counter
           AC, IO : inout word;
           AC, IO : inout word;
           SW_SENSE : in STD_LOGIC_VECTOR(1 to 6);
           SW_SENSE : in STD_LOGIC_VECTOR(1 to 6);
 
 
           RESET : in STD_LOGIC);
           RESET : in STD_LOGIC);
        end component;
        end component;
Line 156... Line 156...
        signal mem_we : std_logic := '0';
        signal mem_we : std_logic := '0';
        signal mem_di, mem_do, io, ac, io_in : word := (others=>'0');
        signal mem_di, mem_do, io, ac, io_in : word := (others=>'0');
        signal sw_sense : std_logic_vector(1 to 6) := o"00";
        signal sw_sense : std_logic_vector(1 to 6) := o"00";
        signal mem_a : std_logic_vector(0 to 11) := (others=>'0');
        signal mem_a : std_logic_vector(0 to 11) := (others=>'0');
 
 
        signal pc : unsigned(0 to 11);
        signal pc : std_logic_vector(0 to 11);
 
 
        signal io_dopulse, io_done, io_set : std_logic := '0';
        signal io_dopulse, io_done, io_set : std_logic := '0';
        signal IOT : std_logic_vector(0 to 63) := (others=>'0');
        signal IOT : std_logic_vector(0 to 63) := (others=>'0');
        signal display_trig, display_done: std_logic;
        signal display_trig, display_done: std_logic;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.