OpenCores
URL https://opencores.org/ocsvn/pltbutils/pltbutils/trunk

Subversion Repositories pltbutils

[/] [pltbutils/] [trunk/] [templates/] [vhdl/] [template2/] [tc_template2.vhd] - Diff between revs 97 and 101

Show entire file | Details | Blame | View Log

Rev 97 Rev 101
Line 23... Line 23...
----                                                              ----
----                                                              ----
---- Author(s):                                                   ----
---- Author(s):                                                   ----
---- - Per Larsson, pela.opencores@gmail.com                      ----
---- - Per Larsson, pela.opencores@gmail.com                      ----
----                                                              ----
----                                                              ----
----------------------------------------------------------------------
----------------------------------------------------------------------
----                                                              ----
 
---- Copyright (C) 2013-2014 Authors and OPENCORES.ORG            ----
 
----                                                              ----
 
---- This source file may be used and distributed without         ----
 
---- restriction provided that this copyright statement is not    ----
 
---- removed from the file and that any derivative work contains  ----
 
---- the original copyright notice and the associated disclaimer. ----
 
----                                                              ----
 
---- This source file is free software; you can redistribute it   ----
 
---- and/or modify it under the terms of the GNU Lesser General   ----
 
---- Public License as published by the Free Software Foundation; ----
 
---- either version 2.1 of the License, or (at your option) any   ----
 
---- later version.                                               ----
 
----                                                              ----
 
---- This source is distributed in the hope that it will be       ----
 
---- useful, but WITHOUT ANY WARRANTY; without even the implied   ----
 
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ----
 
---- PURPOSE. See the GNU Lesser General Public License for more  ----
 
---- details.                                                     ----
 
----                                                              ----
 
---- You should have received a copy of the GNU Lesser General    ----
 
---- Public License along with this source; if not, download it   ----
 
---- from http://www.opencores.org/lgpl.shtml                     ----
 
----                                                              ----
 
----------------------------------------------------------------------
 
library ieee;
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_1164.all;
use work.pltbutils_func_pkg.all;
use work.pltbutils_func_pkg.all;
 
 
entity tc_template2 is
entity tc_template2 is
  generic (
  generic (
    -- < Template info: add generics here if needed, or remove the generic block >    
    G_SKIPTESTS   : std_logic_vector := (
 
                      '0', -- Dummy
 
                      '0', -- Test 1
 
                      '0'  -- Test 2
 
                           -- ... etc
 
                    )
 
    -- < Template info: add more generics here if needed >    
  );
  );
  port (
  port (
    pltbs           : out pltbs_t;
    pltbs           : out pltbs_t;
    clk             : in  std_logic; -- Template example
    clk             : in  std_logic; -- Template example
    rst             : out std_logic; -- Template example
    rst             : out std_logic -- Template example
    -- < Template info: add more ports for testcase component here. >
    -- < Template info: add more ports for testcase component here. >
    -- <                Inputs on the DUT should be outputs here,   >
    -- <                Inputs on the DUT should be outputs here,   >
    -- <                and vice versa.                             >
    -- <                and vice versa.                             >
    -- <                Exception: clocks are inputs both on DUT    >
    -- <                Exception: clocks are inputs both on DUT    >
    -- <                and here.                                   >
    -- <                and here.                                   >

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.