OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

[/] [present/] [trunk/] [Decode/] [bench/] [vhdl/] [PresentFullDecoderTB.vhd] - Diff between revs 4 and 10

Only display areas with differences | Details | Blame | View Log

Rev 4 Rev 10
-----------------------------------------------------------------------
-----------------------------------------------------------------------
----                                                               ----
----                                                               ----
---- Present - a lightweight block cipher project                  ----
---- Present - a lightweight block cipher project                  ----
----                                                               ----
----                                                               ----
---- This file is part of the Present - a lightweight block        ----
---- This file is part of the Present - a lightweight block        ----
---- cipher project                                                ----
---- cipher project                                                ----
---- http://www.http://opencores.org/project,present               ----
---- http://www.http://opencores.org/project,present               ----
----                                                               ----
----                                                               ----
---- Description:                                                  ----
---- Description:                                                  ----
----     Present full decoder test bench. Test signals were taken  ----
----     Present full decoder test bench. Test signals were taken  ----
---- from  'pure' Presnet encoder simulation (it is proper work,   ----
---- from  'pure' Presnet encoder simulation (it is proper work,   ----
---- because it was good implementation).                          ----
---- because it was good implementation).                          ----
---- To Do:                                                        ----
---- To Do:                                                        ----
----                                                               ----
----                                                               ----
---- Author(s):                                                    ----
---- Author(s):                                                    ----
---- - Krzysztof Gajewski, gajos@opencores.org                     ----
---- - Krzysztof Gajewski, gajos@opencores.org                     ----
----                       k.gajewski@gmail.com                    ----
----                       k.gajewski@gmail.com                    ----
----                                                               ----
----                                                               ----
-----------------------------------------------------------------------
-----------------------------------------------------------------------
----                                                               ----
----                                                               ----
---- Copyright (C) 2013 Authors and OPENCORES.ORG                  ----
---- Copyright (C) 2013 Authors and OPENCORES.ORG                  ----
----                                                               ----
----                                                               ----
---- This source file may be used and distributed without          ----
---- This source file may be used and distributed without          ----
---- restriction provided that this copyright statement is not     ----
---- restriction provided that this copyright statement is not     ----
---- removed from the file and that any derivative work contains   ----
---- removed from the file and that any derivative work contains   ----
---- the original copyright notice and the associated disclaimer.  ----
---- the original copyright notice and the associated disclaimer.  ----
----                                                               ----
----                                                               ----
---- This source file is free software; you can redistribute it    ----
---- This source file is free software; you can redistribute it    ----
---- and-or modify it under the terms of the GNU Lesser General    ----
---- and-or modify it under the terms of the GNU Lesser General    ----
---- Public License as published by the Free Software Foundation;  ----
---- Public License as published by the Free Software Foundation;  ----
---- either version 2.1 of the License, or (at your option) any    ----
---- either version 2.1 of the License, or (at your option) any    ----
---- later version.                                                ----
---- later version.                                                ----
----                                                               ----
----                                                               ----
---- This source is distributed in the hope that it will be        ----
---- This source is distributed in the hope that it will be        ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied    ----
---- useful, but WITHOUT ANY WARRANTY; without even the implied    ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR       ----
---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR       ----
---- PURPOSE. See the GNU Lesser General Public License for more   ----
---- PURPOSE. See the GNU Lesser General Public License for more   ----
---- details.                                                      ----
---- details.                                                      ----
----                                                               ----
----                                                               ----
---- You should have received a copy of the GNU Lesser General     ----
---- You should have received a copy of the GNU Lesser General     ----
---- Public License along with this source; if not, download it    ----
---- Public License along with this source; if not, download it    ----
---- from http://www.opencores.org/lgpl.shtml                      ----
---- from http://www.opencores.org/lgpl.shtml                      ----
----                                                               ----
----                                                               ----
-----------------------------------------------------------------------
-----------------------------------------------------------------------
LIBRARY ieee;
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.std_logic_1164.ALL;
 
 
-- Uncomment the following library declaration if using
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
--USE ieee.numeric_std.ALL;
 
 
ENTITY PresentFullDecoderTB IS
ENTITY PresentFullDecoderTB IS
END PresentFullDecoderTB;
END PresentFullDecoderTB;
 
 
ARCHITECTURE behavior OF PresentFullDecoderTB IS
ARCHITECTURE behavior OF PresentFullDecoderTB IS
 
 
    -- Component Declaration for the Unit Under Test (UUT)
    -- Component Declaration for the Unit Under Test (UUT)
 
 
    COMPONENT PresentFullDecoder
    COMPONENT PresentFullDecoder
    PORT(
    PORT(
         ciphertext : IN  std_logic_vector(63 downto 0);
         ciphertext : IN  std_logic_vector(63 downto 0);
         key : IN  std_logic_vector(79 downto 0);
         key : IN  std_logic_vector(79 downto 0);
         plaintext : OUT  std_logic_vector(63 downto 0);
         plaintext : OUT  std_logic_vector(63 downto 0);
         start : IN  std_logic;
         start : IN  std_logic;
         clk : IN  std_logic;
         clk : IN  std_logic;
         reset : IN  std_logic;
         reset : IN  std_logic;
         ready : OUT  std_logic
         ready : OUT  std_logic
        );
        );
    END COMPONENT;
    END COMPONENT;
 
 
 
 
   --Inputs
   --Inputs
   signal ciphertext : std_logic_vector(63 downto 0) := (others => '0');
   signal ciphertext : std_logic_vector(63 downto 0) := (others => '0');
   signal key : std_logic_vector(79 downto 0) := (others => '0');
   signal key : std_logic_vector(79 downto 0) := (others => '0');
   signal start : std_logic := '0';
   signal start : std_logic := '0';
   signal clk : std_logic := '0';
   signal clk : std_logic := '0';
   signal reset : std_logic := '0';
   signal reset : std_logic := '0';
 
 
        --Outputs
        --Outputs
   signal plaintext : std_logic_vector(63 downto 0);
   signal plaintext : std_logic_vector(63 downto 0);
   signal ready : std_logic;
   signal ready : std_logic;
 
 
   -- Clock period definitions
   -- Clock period definitions
   constant clk_period : time := 10 ns;
   constant clk_period : time := 10 ns;
 
 
BEGIN
BEGIN
 
 
        -- Instantiate the Unit Under Test (UUT)
        -- Instantiate the Unit Under Test (UUT)
   uut: PresentFullDecoder PORT MAP (
   uut: PresentFullDecoder PORT MAP (
          ciphertext => ciphertext,
          ciphertext => ciphertext,
          key => key,
          key => key,
          plaintext => plaintext,
          plaintext => plaintext,
          start => start,
          start => start,
          clk => clk,
          clk => clk,
          reset => reset,
          reset => reset,
          ready => ready
          ready => ready
        );
        );
 
 
   -- Clock process definitions
   -- Clock process definitions
   clk_process :process
   clk_process :process
   begin
   begin
                clk <= '0';
                clk <= '0';
                wait for clk_period/2;
                wait for clk_period/2;
                clk <= '1';
                clk <= '1';
                wait for clk_period/2;
                wait for clk_period/2;
   end process;
   end process;
 
 
 
 
   -- Stimulus process
   -- Stimulus process
   stim_proc: process
   stim_proc: process
   begin
   begin
 
 
 
---- Preparation for test case 1 -----------------
 
--   ciphertext <= x"5579c1387b228445";
 
--   key <= x"00000000000000000000";
 
--   expected_plaintext <= x"0000000000000000";
 
--------------------------------------------------
 
 
                reset <= '1';
                reset <= '1';
      start <= '0';
      start <= '0';
                ciphertext <= x"5579c1387b228445";
                ciphertext <= x"5579c1387b228445";
                key <= (others => '0');
                key <= (others => '0');
                wait for 100 ns;
                wait for 100 ns;
                reset <= '0';
                reset <= '0';
 
 
                ciphertext <= x"5579c1387b228445";
                ciphertext <= x"5579c1387b228445";
                key <= (others => '0');
                key <= (others => '0');
                start <= '1';
                start <= '1';
      wait for clk_period*80;
      wait until ready = '1';
 
 
 
      if plaintext /= x"0000000000000000" then
 
                        report "RESULT MISMATCH! Test case 1 failed" severity ERROR;
 
                        assert false severity failure;
 
                else
 
                        report "Test case 1 successful" severity note;
 
                end if;
 
 
 
---- Preparation for test case 2 -----------------
 
--   ciphertext <= x"e72c46c0f5945049";
 
--   key <= x"ffffffffffffffffffff";
 
--   expected_plaintext <= x"0000000000000000";
 
--------------------------------------------------
 
 
                start <= '0';
                start <= '0';
                wait for clk_period;
                wait for clk_period;
 
 
                ciphertext <= x"e72c46c0f5945049";
                ciphertext <= x"e72c46c0f5945049";
                key <= (others => '1');
                key <= (others => '1');
                start <= '1';
                start <= '1';
      wait for clk_period*80;
      wait until ready = '1';
 
 
 
      if plaintext /= x"0000000000000000" then
 
                        report "RESULT MISMATCH! Test case 2 failed" severity ERROR;
 
                        assert false severity failure;
 
                else
 
                        report "Test case 2 successful" severity note;
 
                end if;
 
 
 
---- Preparation for test case 3 -----------------
 
--   ciphertext <= x"a112ffc72f68417b";
 
--   key <= x"00000000000000000000";
 
--   expected_plaintext <= x"ffffffffffffffff";
 
--------------------------------------------------
 
 
                start <= '0';
                start <= '0';
                wait for clk_period;
                wait for clk_period;
 
 
                ciphertext <= x"a112ffc72f68417b";
                ciphertext <= x"a112ffc72f68417b";
                key <= (others => '0');
                key <= (others => '0');
                start <= '1';
                start <= '1';
      wait for clk_period*80;
      wait until ready = '1';
 
 
 
                if plaintext /= x"ffffffffffffffff" then
 
                        report "RESULT MISMATCH! Test case 3 failed" severity ERROR;
 
                        assert false severity failure;
 
                else
 
                        report "Test case 3 successful" severity note;
 
                end if;
 
 
 
---- Preparation for test case 4 -----------------
 
--   ciphertext <= x"3333dcd3213210d2";
 
--   key <= x"ffffffffffffffffffff";
 
--   expected_plaintext <= x"ffffffffffffffff";
 
--------------------------------------------------
 
 
                start <= '0';
                start <= '0';
                wait for clk_period;
                wait for clk_period;
 
 
                ciphertext <= x"3333dcd3213210d2";
                ciphertext <= x"3333dcd3213210d2";
                key <= (others => '1');
                key <= (others => '1');
                start <= '1';
                start <= '1';
      wait for clk_period*80;
      wait until ready = '1';
 
 
                start <= '0';
                start <= '0';
                wait for clk_period;
                wait for clk_period;
 
 
 
                if plaintext /= x"ffffffffffffffff" then
 
                        report "RESULT MISMATCH! Test case 4 failed" severity ERROR;
 
                        assert false severity failure;
 
                else
 
                        report "Test case 4 successful" severity note;
 
                end if;
 
 
                assert false severity failure;
                assert false severity failure;
 
 
   end process;
   end process;
 
 
END;
END;
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.