OpenCores
URL https://opencores.org/ocsvn/present/present/trunk

Subversion Repositories present

[/] [present/] [trunk/] [PureTesting/] [rtl/] [vhdl/] [PresentCommImpl.ucf] - Diff between revs 3 and 4

Show entire file | Details | Blame | View Log

Rev 3 Rev 4
?rev1line?
?rev2line?
 
NET "DATA_RXD" LOC= "R7" | IOSTANDARD= LVTTL | SLEW= FAST ;
 
NET "DATA_TXD" LOC= "M14" | IOSTANDARD= LVTTL | DRIVE= 8 | SLEW= FAST ;
 
NET "CLK" LOC= "C9" | IOSTANDARD= LVCMOS33 | SLEW= FAST ;
 
NET "CLK" TNM_NET = "clk_group";
 
TIMESPEC "TS_CLK" = PERIOD "clk_group" 20 ns HIGH 40%;
 
NET "RESET" LOC= "K17" | IOSTANDARD= LVTTL | PULLDOWN;
 
SYSTEM_JITTER = 1 ns;
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.