OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [sim/] [tests/] [tb_video_frame_dpi/] [video_frame_dpi.sv] - Diff between revs 43 and 44

Only display areas with differences | Details | Blame | View Log

Rev 43 Rev 44
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2018 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
`timescale 1 ns/1 ns
`timescale 1 ns/1 ns
module
module
  video_frame_dpi
  video_frame_dpi
  #(  WIDTH = 8
  #(  WIDTH = 8
  ,   HEIGHT = 16
  ,   HEIGHT = 16
  );
  );
  import bfm_pkg::*;
  import bfm_pkg::*;
  import video_frame_pkg::*;
  import video_frame_pkg::*;
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  import "DPI-C" context function void init_py_dpi(int width, int height);
  import "DPI-C" context function void init_py_dpi(int width, int height);
  import "DPI-C" context function void exit_py_dpi();
  import "DPI-C" context function void exit_py_dpi();
  import "DPI-C" context function void c_do_it();
  import "DPI-C" context function void c_do_it();
  import "DPI-C" context function void c_get_array(inout video_array_t va);
  import "DPI-C" context function void c_get_array(inout video_array_t va);
  import "DPI-C" context function int py_run_file(string filename, int argc, string args[]);
  import "DPI-C" context function int py_run_file(string filename, int argc, string args[]);
  import "DPI-C" context function void init_py_to_video_frame();
 
  export "DPI-C" task sv_write;
  export "DPI-C" task sv_write;
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  `include "./sv_video_frame_dpi.svh"
  `include "./sv_video_frame_dpi.svh"
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  // Exported SV task. Can be called by C, SV or Python
  // Exported SV task. Can be called by C, SV or Python
  task sv_write(input int data, address);
  task sv_write(input int data, address);
    $display("sv_write(data = %d, address = %d)",data,address);
    $display("sv_write(data = %d, address = %d)",data,address);
  endtask
  endtask
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  video_frame_dpi vf_h;
  video_frame_dpi vf_h;
  video_array_t va;
  video_array_t va;
  initial
  initial
  begin
  begin
    vf_h = new();
    vf_h = new();
    vf_h.init(WIDTH, HEIGHT);
    vf_h.init(WIDTH, HEIGHT);
    vf_h.get_frame(va);
    vf_h.get_frame(va);
    // vf_h.do_it();
    vf_h.do_it();
 
    vf_h.py_file("try_it.py", '{"Larry", "Curly", "Moe"});
 
 
    vf_h.exit();
    vf_h.exit();
    $display("DONE!!");
    $display("DONE!!");
  end
  end
// --------------------------------------------------------------------
// --------------------------------------------------------------------
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.