OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [BFM/] [src/] [SPI/] [spi_agent.svh] - Diff between revs 47 and 50

Show entire file | Details | Blame | View Log

Rev 47 Rev 50
Line 23... Line 23...
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
 
 
class spi_agent
class spi_agent #(N=1)
  extends uvm_agent;
  extends uvm_agent;
   `uvm_component_utils(spi_agent)
   `uvm_component_param_utils(spi_agent #(N))
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  virtual spi_if vif;
  virtual spi_if #(N) vif;
  spi_driver driver_h;
  spi_driver #(N) driver_h;
  spi_sequencer sequencer_h;
  spi_sequencer sequencer_h;
  // spi_monitor monitor_h;
 
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  virtual function void build_phase(uvm_phase phase);
  virtual function void build_phase(uvm_phase phase);
    // super.build_phase(phase);
    driver_h = spi_driver #(N)::type_id::create("driver_h", this);
    driver_h = spi_driver::type_id::create("driver_h", this);
 
    // monitor_h = spi_monitor ::type_id::create("monitor_h", this);
 
    sequencer_h = spi_sequencer::type_id::create("sequencer_h", this);
    sequencer_h = spi_sequencer::type_id::create("sequencer_h", this);
 
 
  endfunction
  endfunction
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  virtual function void connect_phase(uvm_phase phase);
  virtual function void connect_phase(uvm_phase phase);
    // super.connect_phase(phase);
 
 
 
    driver_h.vif = vif;
    driver_h.vif = vif;
    // monitor_h.vif = vif;
 
 
 
    driver_h.seq_item_port.connect(sequencer_h.seq_item_export);
    driver_h.seq_item_port.connect(sequencer_h.seq_item_export);
  endfunction
  endfunction
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
   function new(string name, uvm_component parent);
   function new(string name, uvm_component parent);
      super.new(name, parent);
      super.new(name, parent);
   endfunction
   endfunction
 
 
// --------------------------------------------------------------------
// --------------------------------------------------------------------
endclass : spi_agent
endclass
endclass
endclass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.