OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [sim/] [src/] [riffa_agent_class_pkg.sv] - Diff between revs 35 and 37

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 35 Rev 37
Line 55... Line 55...
    // --------------------------------------------------------------------
    // --------------------------------------------------------------------
    //
    //
    task queue_tx_constant(int len, int off, bit last, logic [(8*N)-1:0] value);
    task queue_tx_constant(int len, int off, bit last, logic [(8*N)-1:0] value);
      tr_h = new(len, off, last);
      tr_h = new(len, off, last);
      tr_h.constant(len, off, last, value);
      tr_h.constant(len, off, last, value);
      tx_h.put(tr_h);
      queue_tx(tr_h);
      tx_q.put(tr_h);
 
    endtask: queue_tx_constant
    endtask: queue_tx_constant
 
 
 
 
    // --------------------------------------------------------------------
    // --------------------------------------------------------------------
    //
    //
    task queue_tx_counting(int len, int off, bit last);
    task queue_tx_counting(int len, int off, bit last);
      tr_h = new(len, off, last);
      tr_h = new(len, off, last);
      tr_h.counting(len, off, last);
      tr_h.counting(len, off, last);
      tx_h.put(tr_h);
      queue_tx(tr_h);
      tx_q.put(tr_h);
 
    endtask: queue_tx_counting
    endtask: queue_tx_counting
 
 
 
 
    // --------------------------------------------------------------------
    // --------------------------------------------------------------------
    //
    //
    task queue_tx_random(int len, int off, bit last);
    task queue_tx_random(int len, int off, bit last);
      tr_h = new(len, off, last);
      tr_h = new(len, off, last);
      tr_h.random(len, off, last);
      tr_h.random(len, off, last);
      tx_h.put(tr_h);
      queue_tx(tr_h);
      tx_q.put(tr_h);
 
    endtask: queue_tx_random
    endtask: queue_tx_random
 
 
 
 
    // --------------------------------------------------------------------
    // --------------------------------------------------------------------
    //
    //

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.