OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [PCIe/] [src/] [RIFFA/] [riffa_register_if.sv] - Diff between revs 32 and 34

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 32 Rev 34
Line 28... Line 28...
 
 
interface
interface
  riffa_register_if
  riffa_register_if
  #(
  #(
    N, // data bus width in bytes
    N, // data bus width in bytes
    MW, // mux select width
    B, // number of register banks
    MI  = 2 ** MW // mux inputs
    RW = (N/4), // width of the bus in 32 bit words
 
    RC = RW * B // number of available registers
  )
  )
  (
  (
    input clk,
    input clk,
    input reset
    input reset
  );
  );
 
 
  wire  [(N*8)-1:0] register_in   [MI-1:0];
  wire  [31:0] register_in   [RC-1:0];
  reg   [(N*8)-1:0] register_out  [MI-1:0];
  reg   [31:0] register_out  [RC-1:0];
 
 
 
 
// --------------------------------------------------------------------
// --------------------------------------------------------------------
//
//
endinterface
endinterface

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.