OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [axi4_stream_lib/] [src/] [axis_register_slice.sv] - Diff between revs 36 and 38

Only display areas with differences | Details | Blame | View Log

Rev 36 Rev 38
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
module
module
  axis_register_slice
  axis_register_slice
  #(
  #(
    N,              // data bus width in bytes
    N,              // data bus width in bytes
    I = 1,          // TID width
    I = 1,          // TID width
    D = 1,          // TDEST width
    D = 1,          // TDEST width
    U = 1,          // TUSER width
    U = 1,          // TUSER width
    USE_TSTRB = 0,  //  set to 1 to enable, 0 to disable
    USE_TSTRB = 0,  //  set to 1 to enable, 0 to disable
    USE_TKEEP = 0   //  set to 1 to enable, 0 to disable
    USE_TKEEP = 0   //  set to 1 to enable, 0 to disable
  )
  )
  (
  (
    axis_if axis_in,
    axis_if axis_in,
    axis_if axis_out,
    axis_if axis_out,
    input   aclk,
    input   aclk,
    input   aresetn
    input   aresetn
  );
  );
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  localparam W = (N * 8) + (N * USE_TSTRB) + (N * USE_TKEEP) + I + D + U + 1;
  localparam W = (N * 8) + (N * USE_TSTRB) + (N * USE_TKEEP) + I + D + U + 1;
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  wire          wr_full;
  wire          wr_full;
  wire [W-1:0]  wr_data;
  wire [W-1:0]  wr_data;
  wire          wr_en;
  wire          wr_en;
  wire          rd_empty;
  wire          rd_empty;
  wire [W-1:0]  rd_data;
  wire [W-1:0]  rd_data;
  wire          rd_en;
  wire          rd_en;
 
 
  defparam tiny_sync_fifo_i.W=W; // why are needed these for recursive modules?
  defparam tiny_sync_fifo_i.W=W; // why are these needed for recursive modules?
  tiny_sync_fifo
  tiny_sync_fifo
  // tiny_sync_fifo #(W)
  // tiny_sync_fifo #(W)
    tiny_sync_fifo_i(.clk(aclk), .reset(~aresetn), .*);
    tiny_sync_fifo_i(.clk(aclk), .reset(~aresetn), .*);
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  generate
  defparam axis_map_fifo_i.N=N; // why are these needed for recursive modules?
    begin: assign_gen
  defparam axis_map_fifo_i.I=I;
      if(USE_TSTRB & USE_TKEEP)
  defparam axis_map_fifo_i.D=D;
      begin
  defparam axis_map_fifo_i.U=U;
        assign wr_data =
  defparam axis_map_fifo_i.USE_TSTRB=USE_TSTRB;
          {
  defparam axis_map_fifo_i.USE_TKEEP=USE_TKEEP;
            axis_in.tdata,
  defparam axis_map_fifo_i.W=W;
            axis_in.tlast,
  axis_map_fifo
            axis_in.tuser,
    // #(
            axis_in.tstrb,
      // .N(N),
            axis_in.tkeep
      // .I(I),
          };
      // .D(D),
        assign
      // .U(U),
          {
      // .USE_TSTRB(USE_TSTRB),
            axis_out.tdata,
      // .USE_TKEEP(USE_TKEEP),
            axis_out.tlast,
      // .W(W)
            axis_out.tuser,
    // )
            axis_out.tstrb,
    axis_map_fifo_i(.*);
            axis_out.tkeep
 
          } = rd_data;
 
      end
 
      else if(USE_TSTRB)
 
      begin
 
        assign wr_data =
 
          {
 
            axis_in.tdata,
 
            axis_in.tlast,
 
            axis_in.tuser,
 
            axis_in.tstrb
 
          };
 
        assign
 
          {
 
            axis_out.tdata,
 
            axis_out.tlast,
 
            axis_out.tuser,
 
            axis_out.tstrb
 
          } = rd_data;
 
      end
 
      else if(USE_TKEEP)
 
      begin
 
        assign wr_data =
 
          {
 
            axis_in.tdata,
 
            axis_in.tlast,
 
            axis_in.tuser,
 
            axis_in.tkeep
 
          };
 
        assign
 
          {
 
            axis_out.tdata,
 
            axis_out.tlast,
 
            axis_out.tuser,
 
            axis_out.tkeep
 
          } = rd_data;
 
      end
 
      else
 
      begin
 
        assign wr_data =
 
          {
 
            axis_in.tdata,
 
            axis_in.tlast,
 
            axis_in.tuser
 
          };
 
        assign
 
          {
 
            axis_out.tdata,
 
            axis_out.tlast,
 
            axis_out.tuser
 
          } = rd_data;
 
      end
 
    end
 
  endgenerate
 
 
 
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  assign axis_in.tready   = ~wr_full;
  assign axis_in.tready   = ~wr_full;
  assign wr_en            = axis_in.tvalid & ~wr_full;
  assign wr_en            = axis_in.tvalid & ~wr_full;
  assign axis_out.tvalid  = ~rd_empty;
  assign axis_out.tvalid  = ~rd_empty;
  assign rd_en            = axis_out.tready & ~rd_empty;
  assign rd_en            = axis_out.tready & ~rd_empty;
// --------------------------------------------------------------------
// --------------------------------------------------------------------
//
//
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.