OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [basal/] [src/] [FIFOs/] [sync_fifo.sv] - Diff between revs 34 and 38

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 34 Rev 38
Line 73... Line 73...
    end
    end
  endgenerate
  endgenerate
 
 
 
 
// --------------------------------------------------------------------
// --------------------------------------------------------------------
 
// synthesis translate_off
 
  always_ff @(posedge clk)
 
    if(wr_en & wr_full)
 
      $stop;
 
  always_ff @(posedge clk)
 
    if(rd_en & rd_empty)
 
      $stop;
 
// synthesis translate_on
 
// --------------------------------------------------------------------
 
 
 
 
 
// --------------------------------------------------------------------
//
//
endmodule
endmodule
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.