OpenCores
URL https://opencores.org/ocsvn/qaz_libs/qaz_libs/trunk

Subversion Repositories qaz_libs

[/] [qaz_libs/] [trunk/] [basal/] [src/] [FIFOs/] [tiny_sync_fifo.sv] - Diff between revs 34 and 36

Only display areas with differences | Details | Blame | View Log

Rev 34 Rev 36
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
////                                                              ////
////                                                              ////
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
//// Copyright (C) 2015 Authors and OPENCORES.ORG                 ////
////                                                              ////
////                                                              ////
//// This source file may be used and distributed without         ////
//// This source file may be used and distributed without         ////
//// restriction provided that this copyright statement is not    ////
//// restriction provided that this copyright statement is not    ////
//// removed from the file and that any derivative work contains  ////
//// removed from the file and that any derivative work contains  ////
//// the original copyright notice and the associated disclaimer. ////
//// the original copyright notice and the associated disclaimer. ////
////                                                              ////
////                                                              ////
//// This source file is free software; you can redistribute it   ////
//// This source file is free software; you can redistribute it   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// and/or modify it under the terms of the GNU Lesser General   ////
//// Public License as published by the Free Software Foundation; ////
//// Public License as published by the Free Software Foundation; ////
//// either version 2.1 of the License, or (at your option) any   ////
//// either version 2.1 of the License, or (at your option) any   ////
//// later version.                                               ////
//// later version.                                               ////
////                                                              ////
////                                                              ////
//// This source is distributed in the hope that it will be       ////
//// This source is distributed in the hope that it will be       ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// PURPOSE.  See the GNU Lesser General Public License for more ////
//// details.                                                     ////
//// details.                                                     ////
////                                                              ////
////                                                              ////
//// You should have received a copy of the GNU Lesser General    ////
//// You should have received a copy of the GNU Lesser General    ////
//// Public License along with this source; if not, download it   ////
//// Public License along with this source; if not, download it   ////
//// from http://www.opencores.org/lgpl.shtml                     ////
//// from http://www.opencores.org/lgpl.shtml                     ////
////                                                              ////
////                                                              ////
//////////////////////////////////////////////////////////////////////
//////////////////////////////////////////////////////////////////////
module
module
  tiny_sync_fifo
  tiny_sync_fifo
  #(
  #(
    W = 0
    W
  )
  )
  (
  (
    output  reg       wr_full,
    output  reg       wr_full,
    input   [W-1:0]   wr_data,
    input   [W-1:0]   wr_data,
    input             wr_en,
    input             wr_en,
    output  reg       rd_empty,
    output  reg       rd_empty,
    output  [W-1:0]   rd_data,
    output  [W-1:0]   rd_data,
    input             rd_en,
    input             rd_en,
    input             clk,
    input             clk,
    input             reset
    input             reset
  );
  );
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  wire  writing = wr_en & ~wr_full;
  wire  writing = wr_en & ~wr_full;
  wire  reading = rd_en & ~rd_empty;
  wire  reading = rd_en & ~rd_empty;
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  reg [1:0] rd_ptr_r;
  reg [1:0] rd_ptr_r;
  reg [1:0] next_rd_ptr_r;
  reg [1:0] next_rd_ptr_r;
  always_comb
  always_comb
    if(reset)
    if(reset)
      next_rd_ptr_r = 0;
      next_rd_ptr_r = 0;
    else if(reading)
    else if(reading)
      next_rd_ptr_r = rd_ptr_r + 1;
      next_rd_ptr_r = rd_ptr_r + 1;
    else
    else
      next_rd_ptr_r = rd_ptr_r;
      next_rd_ptr_r = rd_ptr_r;
  always_ff @(posedge clk)
  always_ff @(posedge clk)
    rd_ptr_r <= next_rd_ptr_r;
    rd_ptr_r <= next_rd_ptr_r;
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  reg [1:0] wr_ptr_r;
  reg [1:0] wr_ptr_r;
  reg [1:0] next_wr_ptr_r;
  reg [1:0] next_wr_ptr_r;
  always_comb
  always_comb
    if(reset)
    if(reset)
      next_wr_ptr_r = 0;
      next_wr_ptr_r = 0;
    else if(writing)
    else if(writing)
      next_wr_ptr_r = wr_ptr_r + 1;
      next_wr_ptr_r = wr_ptr_r + 1;
    else
    else
      next_wr_ptr_r = wr_ptr_r;
      next_wr_ptr_r = wr_ptr_r;
  always_ff @(posedge clk)
  always_ff @(posedge clk)
    wr_ptr_r <= next_wr_ptr_r;
    wr_ptr_r <= next_wr_ptr_r;
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  wire empty_w = (next_wr_ptr_r == next_rd_ptr_r);
  wire empty_w = (next_wr_ptr_r == next_rd_ptr_r);
  always_ff @(posedge clk)
  always_ff @(posedge clk)
    if(reset)
    if(reset)
      rd_empty <= 1;
      rd_empty <= 1;
    else
    else
      rd_empty <= empty_w;
      rd_empty <= empty_w;
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  wire full_w = ({~next_wr_ptr_r[1],next_wr_ptr_r[0]} == next_rd_ptr_r);
  wire full_w = ({~next_wr_ptr_r[1],next_wr_ptr_r[0]} == next_rd_ptr_r);
  always_ff @(posedge clk)
  always_ff @(posedge clk)
    if(reset)
    if(reset)
      wr_full <= 0;
      wr_full <= 0;
    else
    else
      wr_full <= full_w;
      wr_full <= full_w;
  // --------------------------------------------------------------------
  // --------------------------------------------------------------------
  //
  //
  reg   [W - 1:0] data_0_r;
  reg   [W-1:0] data_0_r;
  reg   [W - 1:0] data_1_r;
  reg   [W-1:0] data_1_r;
  wire  [W - 1:0] wr_data_mux = rd_ptr_r[0] ? data_1_r : data_0_r;
  wire  [W-1:0] wr_data_mux = rd_ptr_r[0] ? data_1_r : data_0_r;
  assign rd_data = wr_data_mux;
  assign rd_data = wr_data_mux;
  always_ff @(posedge clk)
  always_ff @(posedge clk)
    if(writing)
    if(writing)
      if(wr_ptr_r[0])
      if(wr_ptr_r[0])
        data_1_r <= wr_data;
        data_1_r <= wr_data;
      else
      else
        data_0_r <= wr_data;
        data_0_r <= wr_data;
// --------------------------------------------------------------------
// --------------------------------------------------------------------
// synthesis translate_off
// synthesis translate_off
  always_ff @(posedge clk)
  always_ff @(posedge clk)
    if(wr_en & wr_full)
    if(wr_en & wr_full)
      $stop;
      $stop;
  always_ff @(posedge clk)
  always_ff @(posedge clk)
    if(rd_en & rd_empty)
    if(rd_en & rd_empty)
      $stop;
      $stop;
// synthesis translate_on
// synthesis translate_on
// --------------------------------------------------------------------
// --------------------------------------------------------------------
// --------------------------------------------------------------------
// --------------------------------------------------------------------
//
//
endmodule
endmodule
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.