OpenCores
URL https://opencores.org/ocsvn/ram_wb/ram_wb/trunk

Subversion Repositories ram_wb

[/] [ram_wb/] [trunk/] [rtl/] [verilog/] [ram_wb_sc_dw.v] - Diff between revs 2 and 4

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 2 Rev 4
Line 1... Line 1...
 
module RAM_wb_sc_dw
 
  (
 
   d_a,
 
   q_a,
 
   adr_a,
 
   we_a,
 
   q_b,
 
   adr_b,
 
   d_b,
 
   we_b,
 
   clk
 
   );
 
   parameter DATA_WIDTH = 32;
 
   parameter ADDR_WIDTH = 11;
 
   parameter MEM_SIZE   = 2048;
 
 
 
   input [(DATA_WIDTH-1):0]      d_a;
 
   input [(ADDR_WIDTH-1):0]       adr_a;
 
   input [(ADDR_WIDTH-1):0]       adr_b;
 
   input                         we_a;
 
   output [(DATA_WIDTH-1):0]      q_b;
 
   input [(DATA_WIDTH-1):0]       d_b;
 
   output reg [(DATA_WIDTH-1):0] q_a;
 
   input                         we_b;
 
   input                         clk;
 
   reg [(DATA_WIDTH-1):0]         q_b;
 
   reg [DATA_WIDTH-1:0] ram [0:MEM_SIZE - 1] ;
 
   always @ (posedge clk)
 
     begin
 
        q_a <= ram[adr_a];
 
        if (we_a)
 
             ram[adr_a] <= d_a;
 
     end
 
   always @ (posedge clk)
 
     begin
 
          q_b <= ram[adr_b];
 
        if (we_b)
 
          ram[adr_b] <= d_b;
 
     end
 
endmodule
 
 
 No newline at end of file
 No newline at end of file

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.