OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [hdl/] [behav/] [testbench/] [testbench.v] - Diff between revs 113 and 114

Show entire file | Details | Blame | View Log

Rev 113 Rev 114
Line 1... Line 1...
/*
/*
 * Simply RISC S1 Testbench
 * S1 Testbench
 *
 *
 * (C) 2007 Simply RISC LLP
 * (C) 2007 Fabrizio Fazzino
 * AUTHOR: Fabrizio Fazzino <fabrizio.fazzino@srisc.com>
 
 *
 *
 * LICENSE:
 * LICENSE:
 * This is a Free Hardware Design; you can redistribute it and/or
 * This is a Free Hardware Design; you can redistribute it and/or
 * modify it under the terms of the GNU General Public License
 * modify it under the terms of the GNU General Public License
 * version 2 as published by the Free Software Foundation.
 * version 2 as published by the Free Software Foundation.
Line 76... Line 75...
  assign sys_irq = 64'b0;
  assign sys_irq = 64'b0;
 
 
  initial begin
  initial begin
 
 
    // Display start message
    // Display start message
    $display("INFO: TBENCH: Starting Simply RISC S1 Core simulation...");
    $display("INFO: TBENCH: Starting S1 Core simulation...");
 
 
    // Create VCD trace file
    // Create VCD trace file
    $dumpfile("trace.vcd");
    $dumpfile("trace.vcd");
    $dumpvars();
    $dumpvars();
 
 
Line 88... Line 87...
    sys_clock <= 1'b1;
    sys_clock <= 1'b1;
    sys_reset <= 1'b1;
    sys_reset <= 1'b1;
    #1000
    #1000
    sys_reset <= 1'b0;
    sys_reset <= 1'b0;
    #49000
    #49000
    $display("INFO: TBENCH: Completed Simply RISC S1 Core simulation!");
    $display("INFO: TBENCH: Completed S1 Core simulation!");
    $finish;
    $finish;
 
 
  end
  end
 
 
  /*
  /*
   * Module instances
   * Module instances
   */
   */
 
 
  // Simply RISC S1 Core
  // S1 Core
  s1_top s1_top_0 (
  s1_top s1_top_0 (
 
 
    // System inputs
    // System inputs
    .sys_clock_i(sys_clock),
    .sys_clock_i(sys_clock),
    .sys_reset_i(sys_reset),
    .sys_reset_i(sys_reset),

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.