OpenCores
URL https://opencores.org/ocsvn/s6soc/s6soc/trunk

Subversion Repositories s6soc

[/] [s6soc/] [trunk/] [bench/] [cpp/] [Makefile] - Diff between revs 2 and 10

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 2 Rev 10
################################################################################
################################################################################
#
#
# Filename:     Makefile
# Filename:     Makefile
#
#
# Project:      Zip CPU -- a small, lightweight, RISC CPU soft core
# Project:      Zip CPU -- a small, lightweight, RISC CPU soft core
#
#
# Purpose:      This makefile builds the final verilator simulation of the
# Purpose:      This makefile builds the final verilator simulation of the
#               zipsystem.  Specifically, it builds the final C++ portion
#               zipsystem.  Specifically, it builds the final C++ portion
#               of the simulator, and thus the final simulator executable.
#               of the simulator, and thus the final simulator executable.
#
#
#
#
# Creator:      Dan Gisselquist, Ph.D.
# Creator:      Dan Gisselquist, Ph.D.
#               Gisselquist Technology, LLC
#               Gisselquist Technology, LLC
#
#
################################################################################
################################################################################
#
#
# Copyright (C) 2015, Gisselquist Technology, LLC
# Copyright (C) 2015, Gisselquist Technology, LLC
#
#
# This program is free software (firmware): you can redistribute it and/or
# This program is free software (firmware): you can redistribute it and/or
# modify it under the terms of  the GNU General Public License as published
# modify it under the terms of  the GNU General Public License as published
# by the Free Software Foundation, either version 3 of the License, or (at
# by the Free Software Foundation, either version 3 of the License, or (at
# your option) any later version.
# your option) any later version.
#
#
# This program is distributed in the hope that it will be useful, but WITHOUT
# This program is distributed in the hope that it will be useful, but WITHOUT
# ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
# ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
# FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
# FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
# for more details.
# for more details.
#
#
# License:      GPL, v3, as defined and found on www.gnu.org,
# License:      GPL, v3, as defined and found on www.gnu.org,
#               http://www.gnu.org/licenses/gpl.html
#               http://www.gnu.org/licenses/gpl.html
#
#
#
#
################################################################################
################################################################################
#
#
all: zip_sim
all: zip_sim
CXX     := g++
CXX     := g++
FLAGS   := -Wall -Og -g
FLAGS   := -Wall -Og -g
ZASM    := ../../sw/zasm
SWHOST  := ../../sw/host
RTLD    := ../../rtl
RTLD    := ../../rtl
INCS    := -I$(RTLD)/obj_dir/ -I$(RTLD) -I/usr/share/verilator/include -I$(ZASM)
INCS    := -I$(RTLD)/obj_dir/ -I$(RTLD) -I/usr/share/verilator/include  \
 
        -I$(SWHOST)
SOURCES := zip_sim.cpp twoc.cpp qspiflashsim.cpp uartsim.cpp
SOURCES := zip_sim.cpp twoc.cpp qspiflashsim.cpp uartsim.cpp
VLIB    := /usr/share/verilator/include/verilated.cpp
VLIB    := /usr/share/verilator/include/verilated.cpp
RAWLIB  := $(VLIB) $(RTLD)/obj_dir/Vbusmaster__ALL.a
RAWLIB  := $(VLIB) $(RTLD)/obj_dir/Vbusmaster__ALL.a
LIBS    := $(RAWLIB)
LIBS    := $(RAWLIB) -lelf
TESTF   := $(ZASM)/z.out
TESTF   := $(ZASM)/z.out
DHRYSTONEF := ../asm/zipdhry.z
DHRYSTONEF := ../asm/zipdhry.z
zip_sim: $(SOURCES) $(RAWLIB) testb.h
zip_sim: $(SOURCES) $(RAWLIB) testb.h
        $(CXX) $(FLAGS) $(INCS) $(SOURCES) $(LIBS) -o $@
        $(CXX) $(FLAGS) $(INCS) $(SOURCES) $(LIBS) -o $@
# .PHONY: stest
# .PHONY: stest
# stest: zippy_tb
# stest: zippy_tb
        # ./zippy_tb -s $(TESTF)
        # ./zippy_tb -s $(TESTF)
# .PHONY: itest
# .PHONY: itest
# itest: zippy_tb
# itest: zippy_tb
        # ./zippy_tb $(TESTF)
        # ./zippy_tb $(TESTF)
# .PHONY: test
# .PHONY: test
# test: zippy_tb stest
# test: zippy_tb stest
        # ./zippy_tb -a $(TESTF)
        # ./zippy_tb -a $(TESTF)
# .PHONY: dhrystone
# .PHONY: dhrystone
# dhrystone: zippy_tb
# dhrystone: zippy_tb
        # ./zippy_tb -a $(DHRYSTONEF)
        # ./zippy_tb -a $(DHRYSTONEF)
.PHONY: clean
.PHONY: clean
clean:
clean:
        rm ./zip_sim
        rm ./zip_sim
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.