OpenCores
URL https://opencores.org/ocsvn/s6soc/s6soc/trunk

Subversion Repositories s6soc

[/] [s6soc/] [trunk/] [rtl/] [toplevel.v] - Diff between revs 12 and 13

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 12 Rev 13
Line 113... Line 113...
                .CLKFX(clk_s),
                .CLKFX(clk_s),
                .PSEN(1'b0),
                .PSEN(1'b0),
                .RST(1'b0));
                .RST(1'b0));
 
 
        //
        //
        // Generate active-high reset.
 
        //
 
        //      Actually, we don't.  Instead, let this board reset through
 
        //      the reconfiguration/power on process and we never use this
 
        //      wire.
 
        //
 
        /*
 
        reg     r_reset;
 
        initial r_reset = 1'b1;
 
        always @(posedge i_clk_12mhz)
 
                r_reset <= 1'b0;
 
        */
 
        assign  reset_s = 1'b0;
 
 
 
 
 
        //
 
        // The UART serial interface
        // The UART serial interface
        //
        //
        //      Perhaps this should be part of our simulation model as well.
        //      Perhaps this should be part of our simulation model as well.
        //      For historical reasons, internal to Gisselquist Technology,
        //      For historical reasons, internal to Gisselquist Technology,
        //      this has remained separate from the simulation, allowing the
        //      this has remained separate from the simulation, allowing the
Line 143... Line 127...
        wire            tx_busy;
        wire            tx_busy;
        wire    [29:0]   uart_setup;
        wire    [29:0]   uart_setup;
 
 
        wire    rx_break, rx_parity_err, rx_frame_err, rx_ck_uart, tx_break;
        wire    rx_break, rx_parity_err, rx_frame_err, rx_ck_uart, tx_break;
        assign  tx_break = 1'b0;
        assign  tx_break = 1'b0;
        rxuart  rcvuart(clk_s, reset_s, uart_setup,
        rxuart  rcvuart(clk_s, 1'b0, uart_setup,
                        i_uart, rx_stb, rx_data,
                        i_uart, rx_stb, rx_data,
                        rx_break, rx_parity_err, rx_frame_err, rx_ck_uart);
                        rx_break, rx_parity_err, rx_frame_err, rx_ck_uart);
        txuart  tcvuart(clk_s, reset_s, uart_setup, tx_break, tx_stb, tx_data,
        txuart  tcvuart(clk_s, reset_s, uart_setup, tx_break, tx_stb, tx_data,
                        o_uart, tx_busy);
                        o_uart, tx_busy);
 
 
Line 163... Line 147...
        //
        //
        wire    [3:0]    qspi_dat;
        wire    [3:0]    qspi_dat;
        wire    [1:0]    qspi_bmod;
        wire    [1:0]    qspi_bmod;
        wire    [15:0]   w_gpio;
        wire    [15:0]   w_gpio;
 
 
        busmaster       masterbus(clk_s, reset_s,
        busmaster       masterbus(clk_s, 1'b0,
                // External ... bus control (if enabled)
                // External ... bus control (if enabled)
                rx_stb, rx_data, tx_stb, tx_data, tx_busy, w_uart_cts,
                rx_stb, rx_data, tx_stb, tx_data, tx_busy, w_uart_cts,
                // SPI/SD-card flash
                // SPI/SD-card flash
                o_qspi_cs_n, o_qspi_sck, qspi_dat, io_qspi_dat, qspi_bmod,
                o_qspi_cs_n, o_qspi_sck, qspi_dat, io_qspi_dat, qspi_bmod,
                // Board lights and switches
                // Board lights and switches

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.