OpenCores
URL https://opencores.org/ocsvn/scan_based_serial_communication/scan_based_serial_communication/trunk

Subversion Repositories scan_based_serial_communication

[/] [scan_based_serial_communication/] [trunk/] [scan.perl.v] - Diff between revs 11 and 13

Show entire file | Details | Blame | View Log

Rev 11 Rev 13
Line 142... Line 142...
 
 
             my $data_begin = $addr_end + 1;
             my $data_begin = $addr_end + 1;
             my $data_end   = $data_begin + $data_bits - 1;
             my $data_end   = $data_begin + $data_bits - 1;
 
 
             if ($signal_list[$i]{addr_bits} == 0) {
             if ($signal_list[$i]{addr_bits} == 0) {
 
                 if ($signal_list[$i]{name} ne $scan_reset_name) {
                print "      $name = scan_slave[$scan_reset_bit] ? ${size}'d${reset} : scan_slave[$size_end:$size_begin];\n";
                print "      $name = scan_slave[$scan_reset_bit] ? ${size}'d${reset} : scan_slave[$size_end:$size_begin];\n";
             } else {
             } else {
 
                    print "      $name = scan_slave[$scan_reset_bit];\n";
 
                 }
 
             } else {
                if ($scan_reset_exists) {
                if ($scan_reset_exists) {
                   print "      if (scan_slave[$scan_reset_bit]) ${name} = ${size}'d${reset}; else\n";
                   print "      if (scan_slave[$scan_reset_bit]) ${name} = ${size}'d${reset}; else\n";
                }
                }
                print "      case (scan_slave[$addr_end:$addr_begin])\n";
                print "      case (scan_slave[$addr_end:$addr_begin])\n";
                for (my $a = 0; ($a+1-1)*$data_bits < $size; $a++) {
                for (my $a = 0; ($a+1-1)*$data_bits < $size; $a++) {

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.