OpenCores
URL https://opencores.org/ocsvn/sdcard_mass_storage_controller/sdcard_mass_storage_controller/trunk

Subversion Repositories sdcard_mass_storage_controller

[/] [sdcard_mass_storage_controller/] [trunk/] [bench/] [sdc_dma/] [verilog/] [sdModel.v] - Diff between revs 125 and 127

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 125 Rev 127
Line 129... Line 129...
reg appendCrc;
reg appendCrc;
reg [5:0] startUppCnt;
reg [5:0] startUppCnt;
 
 
reg q_start_bit;
reg q_start_bit;
//Card initinCMd
//Card initinCMd
initial $readmemh("FLASH.txt",FLASHmem);
initial $readmemh("../bin/FLASH.txt",FLASHmem);
 
 
integer k;
integer k;
initial begin
initial begin
        $display("Contents of Mem after reading data file:");
        $display("Contents of Mem after reading data file:");
        for (k=0; k<10; k=k+1) $display("%d:%h",k,FLASHmem[k]);
        for (k=0; k<10; k=k+1) $display("%d:%h",k,FLASHmem[k]);
Line 796... Line 796...
 
 
integer sdModel_file_desc;
integer sdModel_file_desc;
 
 
initial
initial
begin
begin
  sdModel_file_desc = $fopen("log/sd_model.log");
  sdModel_file_desc = $fopen("../log/sd_model.log");
  if (sdModel_file_desc < 2)
  if (sdModel_file_desc < 2)
  begin
  begin
    $display("*E Could not open/create testbench log file in /log/ directory!");
    $display("*E Could not open/create testbench log file in /log/ directory!");
    $finish;
    $finish;
  end
  end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.