OpenCores
URL https://opencores.org/ocsvn/sdcard_mass_storage_controller/sdcard_mass_storage_controller/trunk

Subversion Repositories sdcard_mass_storage_controller

[/] [sdcard_mass_storage_controller/] [trunk/] [bench/] [sdc_dma/] [verilog/] [sdModel.v] - Diff between revs 135 and 136

Show entire file | Details | Blame | View Log

Rev 135 Rev 136
Line 328... Line 328...
  end
  end
else
else
  CardStatus[8]<=1;
  CardStatus[8]<=1;
 
 
 startUppCnt<=startUppCnt+1;
 startUppCnt<=startUppCnt+1;
 OCR[31]<=Busy;
 OCR[31]<=~Busy;
 if (startUppCnt == `TIME_BUSY)
 if (startUppCnt == `TIME_BUSY)
   Busy <=1;
   Busy <=1;
end
end
 
 
 
 
Line 896... Line 896...
 
 
integer sdModel_file_desc;
integer sdModel_file_desc;
 
 
initial
initial
begin
begin
  sdModel_file_desc = $fopen("../out/sd_model.log");
  sdModel_file_desc = $fopen("../log/sd_model.log");
  if (sdModel_file_desc < 2)
  if (sdModel_file_desc < 2)
  begin
  begin
    $display("*E Could not open/create testbench log file in /log/ directory!");
    $display("*E Could not open/create testbench log file in /log/ directory!");
    $finish;
    $finish;
  end
  end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.