OpenCores
URL https://opencores.org/ocsvn/sdcard_mass_storage_controller/sdcard_mass_storage_controller/trunk

Subversion Repositories sdcard_mass_storage_controller

[/] [sdcard_mass_storage_controller/] [trunk/] [bench/] [sdc_dma/] [verilog/] [wb_slave_behavioral.v] - Diff between revs 98 and 127

Show entire file | Details | Blame | View Log

Rev 98 Rev 127
Line 100... Line 100...
//reg     `WB_DATA_TYPE wb_memory [0:16777215]; // WB memory - 24 addresses connected - 2 LSB not used
//reg     `WB_DATA_TYPE wb_memory [0:16777215]; // WB memory - 24 addresses connected - 2 LSB not used
reg     `WB_DATA_TYPE wb_memory [0:1048575]; // WB memory - 20 addresses connected - 2 LSB not used
reg     `WB_DATA_TYPE wb_memory [0:1048575]; // WB memory - 20 addresses connected - 2 LSB not used
reg     `WB_DATA_TYPE mem_wr_data_out;
reg     `WB_DATA_TYPE mem_wr_data_out;
reg     `WB_DATA_TYPE mem_rd_data_in;
reg     `WB_DATA_TYPE mem_rd_data_in;
 
 
initial $readmemh("wb_memory.txt",wb_memory);
initial $readmemh("../bin/wb_memory.txt",wb_memory);
 
 
integer k;
integer k;
initial begin
initial begin
        $display("Contents of Mem after reading data file:");
        $display("Contents of Mem after reading data file:");
        for (k=0; k<10; k=k+1) $display("%d:%h",k,wb_memory[k]);
        for (k=0; k<10; k=k+1) $display("%d:%h",k,wb_memory[k]);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.