OpenCores
URL https://opencores.org/ocsvn/sdr_ctrl/sdr_ctrl/trunk

Subversion Repositories sdr_ctrl

[/] [sdr_ctrl/] [trunk/] [rtl/] [core/] [sdrc_bs_convert.v] - Diff between revs 45 and 47

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 45 Rev 47
Line 16... Line 16...
  Author(s):
  Author(s):
      - Dinesh Annayya, dinesha@opencores.org
      - Dinesh Annayya, dinesha@opencores.org
  Version  :  0.0  - 8th Jan 2012 - Initial structure
  Version  :  0.0  - 8th Jan 2012 - Initial structure
              0.2 - 2nd Feb 2012
              0.2 - 2nd Feb 2012
                 Improved the command pipe structure to accept up-to 4 command of different bank.
                 Improved the command pipe structure to accept up-to 4 command of different bank.
 
              0.3 - 6th Feb 2012
 
                 Bug fix on read valid generation
 
 
 
 
 
 
 Copyright (C) 2000 Authors and OPENCORES.ORG
 Copyright (C) 2000 Authors and OPENCORES.ORG
 
 
Line 139... Line 141...
          end
          end
        else if(sdr_width == 2'b01) // 16 Bit SDR Mode
        else if(sdr_width == 2'b01) // 16 Bit SDR Mode
        begin
        begin
           // Changed the address and length to match the 16 bit SDR Mode
           // Changed the address and length to match the 16 bit SDR Mode
            app_wr_next          = (x2a_wrnext & wr_xfr_count[0]);
            app_wr_next          = (x2a_wrnext & wr_xfr_count[0]);
            app_rd_valid         = (rd_xfr_count & rd_xfr_count[0]);
            app_rd_valid         = (x2a_rdok & rd_xfr_count[0]);
            if(wr_xfr_count[0] == 1'b1)
            if(wr_xfr_count[0] == 1'b1)
              begin
              begin
                a2x_wren_n      = app_wr_en_n[3:2];
                a2x_wren_n      = app_wr_en_n[3:2];
                a2x_wrdt        = app_wr_data[31:16];
                a2x_wrdt        = app_wr_data[31:16];
              end
              end
Line 156... Line 158...
            app_rd_data = {x2a_rddt,saved_rd_data[15:0]};
            app_rd_data = {x2a_rddt,saved_rd_data[15:0]};
        end else  // 8 Bit SDR Mode
        end else  // 8 Bit SDR Mode
        begin
        begin
           // Changed the address and length to match the 16 bit SDR Mode
           // Changed the address and length to match the 16 bit SDR Mode
            app_wr_next         = (x2a_wrnext & (wr_xfr_count[1:0]== 2'b11));
            app_wr_next         = (x2a_wrnext & (wr_xfr_count[1:0]== 2'b11));
            app_rd_valid        = (rd_xfr_count &   (rd_xfr_count[1:0]== 2'b11));
            app_rd_valid        = (x2a_rdok &   (rd_xfr_count[1:0]== 2'b11));
            if(wr_xfr_count[1:0] == 2'b11)
            if(wr_xfr_count[1:0] == 2'b11)
            begin
            begin
                a2x_wren_n      = app_wr_en_n[3];
                a2x_wren_n      = app_wr_en_n[3];
                a2x_wrdt        = app_wr_data[31:24];
                a2x_wrdt        = app_wr_data[31:24];
            end
            end

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.