OpenCores
URL https://opencores.org/ocsvn/sdr_ctrl/sdr_ctrl/trunk

Subversion Repositories sdr_ctrl

[/] [sdr_ctrl/] [trunk/] [verif/] [tb/] [tb_top.sv] - Diff between revs 70 and 73

Show entire file | Details | Blame | View Log

Rev 70 Rev 73
Line 437... Line 437...
   for(i=0; i < bl; i++) begin
   for(i=0; i < bl; i++) begin
      wb_stb_i        = 1;
      wb_stb_i        = 1;
      wb_cyc_i        = 1;
      wb_cyc_i        = 1;
      wb_we_i         = 1;
      wb_we_i         = 1;
      wb_sel_i        = 4'b1111;
      wb_sel_i        = 4'b1111;
      wb_addr_i       = Address[31:2]+i;
      wb_addr_i       = {Address[31:2]+i,2'b00};
      wb_dat_i        = $random & 32'hFFFFFFFF;
      wb_dat_i        = $random & 32'hFFFFFFFF;
      dfifo.push_back(wb_dat_i);
      dfifo.push_back(wb_dat_i);
 
 
      do begin
      do begin
          @ (posedge sys_clk);
          @ (posedge sys_clk);
Line 473... Line 473...
 
 
      for(j=0; j < bl; j++) begin
      for(j=0; j < bl; j++) begin
         wb_stb_i        = 1;
         wb_stb_i        = 1;
         wb_cyc_i        = 1;
         wb_cyc_i        = 1;
         wb_we_i         = 0;
         wb_we_i         = 0;
         wb_addr_i       = Address[31:2]+j;
         wb_addr_i       = {Address[31:2]+j,2'b00};
 
 
         exp_data        = dfifo.pop_front(); // Exptected Read Data
         exp_data        = dfifo.pop_front(); // Exptected Read Data
         do begin
         do begin
             @ (posedge sys_clk);
             @ (posedge sys_clk);
         end while(wb_ack_o == 1'b0);
         end while(wb_ack_o == 1'b0);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.