OpenCores
URL https://opencores.org/ocsvn/securehash256bits/securehash256bits/trunk

Subversion Repositories securehash256bits

[/] [securehash256bits/] [trunk/] [sha256compressionCore.vhd] - Diff between revs 4 and 5

Show entire file | Details | Blame | View Log

Rev 4 Rev 5
Line 1... Line 1...
--(Maximum Frequency: 339.997MHz)
--(Maximum Frequency: 301.051MHz)
 
 
LIBRARY IEEE;
LIBRARY IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
use IEEE.std_logic_unsigned.all;
 
 
Line 48... Line 48...
                                h <= g + w + k;
                                h <= g + w + k;
                        end if;
                        end if;
                end if;
                end if;
   end process;
   end process;
   --main_loop_pipe asynchron circuitry
   --main_loop_pipe asynchron circuitry
   su1 <= (temp1(5 downto 0) & temp1(31 downto 6)) xor (temp1(10 downto 0) & temp1(31 downto 11)) xor (temp1(24 downto 0) & temp1(31 downto 25));
   su1 <= (sum(5 downto 0) & sum(31 downto 6)) xor (sum(10 downto 0) & sum(31 downto 11)) xor (sum(24 downto 0) & sum(31 downto 25));
   ch <= (sum and f) xor ((not sum) and g);
   ch <= (sum and f) xor ((not sum) and g);
   su0 <= (temp2(1 downto 0) & temp2(31 downto 2)) xor (temp2(12 downto 0) & temp2(31 downto 13)) xor (temp2(21 downto 0) & temp2(31 downto 22));
   su0 <= (temp2(1 downto 0) & temp2(31 downto 2)) xor (temp2(12 downto 0) & temp2(31 downto 13)) xor (temp2(21 downto 0) & temp2(31 downto 22));
   maj <= (temp2 and (b xor c)) xor (b and c);
   maj <= (temp2 and (b xor c)) xor (b and c);
   sum <= e1 + temp1;
   sum <= e1 + temp1;
   temp2 <= temp1 + a1 + a2;
   temp2 <= temp1 + a1 + a2;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.