OpenCores
URL https://opencores.org/ocsvn/single-14-segment-display-driver-w-decoder/single-14-segment-display-driver-w-decoder/trunk

Subversion Repositories single-14-segment-display-driver-w-decoder

[/] [single-14-segment-display-driver-w-decoder/] [trunk/] [Documentation/] [html/] [classdisplay__driver__w__decoder.html] - Diff between revs 8 and 10

Show entire file | Details | Blame | View Log

Rev 8 Rev 10
Line 73... Line 73...
<div class="dynheader">
<div class="dynheader">
Inheritance diagram for display_driver_w_decoder:</div>
Inheritance diagram for display_driver_w_decoder:</div>
<div class="dyncontent">
<div class="dyncontent">
<div class="center"><img src="classdisplay__driver__w__decoder__inherit__graph.png" border="0" usemap="#display__driver__w__decoder_inherit__map" alt="Inheritance graph"/></div>
<div class="center"><img src="classdisplay__driver__w__decoder__inherit__graph.png" border="0" usemap="#display__driver__w__decoder_inherit__map" alt="Inheritance graph"/></div>
<map name="display__driver__w__decoder_inherit__map" id="display__driver__w__decoder_inherit__map">
<map name="display__driver__w__decoder_inherit__map" id="display__driver__w__decoder_inherit__map">
<area shape="rect" id="node2" href="class_a_s_c_i_i_decoder.html" title="ASCIIDecoder" alt="" coords="39,80,141,107"/>
<area shape="rect" id="node2" href="classascii__decoder.html" title="ascii_decoder" alt="" coords="39,80,141,107"/>
<area shape="rect" id="node3" href="class_dist_rom_ascii_decoder.html" title="DistRomAsciiDecoder" alt="" coords="15,5,165,32"/>
<area shape="rect" id="node3" href="classdecoder__table__dist__rom.html" title="decoder_table_dist_rom" alt="" coords="10,5,170,32"/>
</map>
</map>
<center><span class="legend">[<a href="graph_legend.html">legend</a>]</span></center></div>
<center><span class="legend">[<a href="graph_legend.html">legend</a>]</span></center></div>
<div class="dynheader">
<div class="dynheader">
Collaboration diagram for display_driver_w_decoder:</div>
Collaboration diagram for display_driver_w_decoder:</div>
<div class="dyncontent">
<div class="dyncontent">
<div class="center"><img src="classdisplay__driver__w__decoder__coll__graph.png" border="0" usemap="#display__driver__w__decoder_coll__map" alt="Collaboration graph"/></div>
<div class="center"><img src="classdisplay__driver__w__decoder__coll__graph.png" border="0" usemap="#display__driver__w__decoder_coll__map" alt="Collaboration graph"/></div>
<map name="display__driver__w__decoder_coll__map" id="display__driver__w__decoder_coll__map">
<map name="display__driver__w__decoder_coll__map" id="display__driver__w__decoder_coll__map">
<area shape="rect" id="node2" href="class_a_s_c_i_i_decoder.html" title="ASCIIDecoder" alt="" coords="39,80,141,107"/>
<area shape="rect" id="node2" href="classascii__decoder.html" title="ascii_decoder" alt="" coords="39,80,141,107"/>
<area shape="rect" id="node3" href="class_dist_rom_ascii_decoder.html" title="DistRomAsciiDecoder" alt="" coords="15,5,165,32"/>
<area shape="rect" id="node3" href="classdecoder__table__dist__rom.html" title="decoder_table_dist_rom" alt="" coords="10,5,170,32"/>
</map>
</map>
<center><span class="legend">[<a href="graph_legend.html">legend</a>]</span></center></div>
<center><span class="legend">[<a href="graph_legend.html">legend</a>]</span></center></div>
<table class="memberdecls">
<table class="memberdecls">
<tr class="heading"><td colspan="2"><h2 class="groupheader"><a name="nested-classes"></a>
<tr class="heading"><td colspan="2"><h2 class="groupheader"><a name="nested-classes"></a>
Entities</h2></td></tr>
Entities</h2></td></tr>
Line 120... Line 120...
<tr class="memdesc:a11737d50bbf001c0d64a327a2afca193"><td class="mdescLeft">&#160;</td><td class="mdescRight">input ASCII code to display <br /></td></tr>
<tr class="memdesc:a11737d50bbf001c0d64a327a2afca193"><td class="mdescLeft">&#160;</td><td class="mdescRight">input ASCII code to display <br /></td></tr>
<tr class="memitem:a8f9235710fc037196ed1f7fa93aa0ef3"><td class="memItemLeft" align="right" valign="top"><a id="a8f9235710fc037196ed1f7fa93aa0ef3"></a>
<tr class="memitem:a8f9235710fc037196ed1f7fa93aa0ef3"><td class="memItemLeft" align="right" valign="top"><a id="a8f9235710fc037196ed1f7fa93aa0ef3"></a>
<a class="el" href="classdisplay__driver__w__decoder.html#a8f9235710fc037196ed1f7fa93aa0ef3">wr_en</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">in</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic</span><span class="vhdlchar"> </span></b></td></tr>
<a class="el" href="classdisplay__driver__w__decoder.html#a8f9235710fc037196ed1f7fa93aa0ef3">wr_en</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">in</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic</span><span class="vhdlchar"> </span></b></td></tr>
<tr class="memdesc:a8f9235710fc037196ed1f7fa93aa0ef3"><td class="mdescLeft">&#160;</td><td class="mdescRight">active high write enable to store the ASCII code in a register <br /></td></tr>
<tr class="memdesc:a8f9235710fc037196ed1f7fa93aa0ef3"><td class="mdescLeft">&#160;</td><td class="mdescRight">active high write enable to store the ASCII code in a register <br /></td></tr>
<tr class="memitem:ae5d1871b211b0ea1a0592bd84db8cfd9"><td class="memItemLeft" align="right" valign="top"><a class="el" href="classdisplay__driver__w__decoder.html#ae5d1871b211b0ea1a0592bd84db8cfd9">disp_data_q</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">out</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">14</span> <span class="vhdlchar"> </span><span class="keywordflow">downto</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">0</span> <span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
<tr class="memitem:ae5d1871b211b0ea1a0592bd84db8cfd9"><td class="memItemLeft" align="right" valign="top"><a class="el" href="classdisplay__driver__w__decoder.html#ae5d1871b211b0ea1a0592bd84db8cfd9">disp_data_q</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">out</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic_vector</span><span class="vhdlchar"> </span><span class="vhdlchar">(</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">14</span> <span class="vhdlchar"> </span><span class="keywordflow">downto</span><span class="vhdlchar"> </span><span class="vhdlchar"> </span> <span class="vhdldigit">0</span> <span class="vhdlchar"> </span><span class="vhdlchar">)</span><span class="vhdlchar"> </span></b></td></tr>
<tr class="memitem:ac39d5faa22b7c7b88914e8915f901d69"><td class="memItemLeft" align="right" valign="top"><a class="el" href="classdisplay__driver__w__decoder.html#ac39d5faa22b7c7b88914e8915f901d69">disp_sel</a> &#160;</td><td class="memItemRight" valign="bottom"> <b><b><span class="keywordflow">out</span><span class="vhdlchar"> </span></b></b> <b><span class="comment">std_logic</span><span class="vhdlchar"> </span></b></td></tr>
 
</table>
</table>
<a name="details" id="details"></a><h2 class="groupheader">Detailed Description</h2>
<a name="details" id="details"></a><h2 class="groupheader">Detailed Description</h2>
<div class="textblock"><p>Top entity of the display driver. </p>
<div class="textblock"><p>Top entity of the display driver. </p>
<p>Top entity of the decoder architecture. Module description also goes here. </p>
<p>Top entity of the decoder architecture. Module description also goes here. </p>
</div><h2 class="groupheader">Member Data Documentation</h2>
</div><h2 class="groupheader">Member Data Documentation</h2>
Line 145... Line 144...
  <td class="mlabels-right">
  <td class="mlabels-right">
<span class="mlabels"><span class="mlabel">Port</span></span>  </td>
<span class="mlabels"><span class="mlabel">Port</span></span>  </td>
  </tr>
  </tr>
</table>
</table>
</div><div class="memdoc">
</div><div class="memdoc">
<p>Typically the data fed to display (single or multiple) is provided for single display at a time. If multiple displays are required disp_sel signal must be provided (according typical dynamic display indication).</p>
<p>Typically the data fed to display (single or multiple) is provided for single display at a time. If multiple displays are required scan signal must be additionally provided (according typical dynamic display indication).</p>
<h1><a class="anchor" id="disp_data_bit_mapping"></a>
<h1><a class="anchor" id="disp_data_bit_mapping"></a>
Display Segment Bit Mapping</h1>
Display Segment Bit Mapping</h1>
<table class="doxtable">
<table class="doxtable">
<tr>
<tr>
<th align="center">Bit Number </th><th align="center">14</th><th align="center">13</th><th align="center">12</th><th align="center">11</th><th align="center">10</th><th align="center">9</th><th align="center">8</th><th align="center">7</th><th align="center">6</th><th align="center">5</th><th align="center">4</th><th align="center">3</th><th align="center">2</th><th align="center">1</th><th align="center">0  </th></tr>
<th align="center">Bit Number </th><th align="center">14</th><th align="center">13</th><th align="center">12</th><th align="center">11</th><th align="center">10</th><th align="center">9</th><th align="center">8</th><th align="center">7</th><th align="center">6</th><th align="center">5</th><th align="center">4</th><th align="center">3</th><th align="center">2</th><th align="center">1</th><th align="center">0  </th></tr>
<tr>
<tr>
<td align="center">Display Segment</td><td align="center">dp</td><td align="center">m</td><td align="center">l</td><td align="center">k</td><td align="center">j</td><td align="center">i</td><td align="center">h</td><td align="center">g2</td><td align="center">g1</td><td align="center">f</td><td align="center">e</td><td align="center">d</td><td align="center">c</td><td align="center">b</td><td align="center">a </td></tr>
<td align="center">Display Segment</td><td align="center">dp</td><td align="center">m</td><td align="center">l</td><td align="center">k</td><td align="center">j</td><td align="center">i</td><td align="center">h</td><td align="center">g2</td><td align="center">g1</td><td align="center">f</td><td align="center">e</td><td align="center">d</td><td align="center">c</td><td align="center">b</td><td align="center">a </td></tr>
</table>
</table>
<p>Note that there is no standard way to name the segments. Current data bits correspondt to display segments according this picture: <a href="https://www.maximintegrated.com/en/images/appnotes/3211/3211Fig02.gif">https://www.maximintegrated.com/en/images/appnotes/3211/3211Fig02.gif</a> </p>
<p>Note that there is no standard way to name the segments. Current data bits correspondt to display segments according this picture: </p><div class="image">
 
<img src="https://www.maximintegrated.com/en/images/appnotes/3211/3211Fig02.gif" alt="3211Fig02.gif"/>
</div>
 
</div>
</div>
<a id="ac39d5faa22b7c7b88914e8915f901d69"></a>
 
<h2 class="memtitle"><span class="permalink"><a href="#ac39d5faa22b7c7b88914e8915f901d69">&#9670;&nbsp;</a></span>disp_sel</h2>
 
 
 
<div class="memitem">
 
<div class="memproto">
 
<table class="mlabels">
 
  <tr>
 
  <td class="mlabels-left">
 
      <table class="memname">
 
        <tr>
 
          <td class="memname"><a class="el" href="classdisplay__driver__w__decoder.html#ac39d5faa22b7c7b88914e8915f901d69">disp_sel</a> <b><span class="keywordflow">out</span><span class="vhdlchar"> </span></b> <b><span class="comment">std_logic</span><span class="vhdlchar"> </span></b> </td>
 
        </tr>
 
      </table>
 
  </td>
 
  <td class="mlabels-right">
 
<span class="mlabels"><span class="mlabel">Port</span></span>  </td>
 
  </tr>
 
</table>
 
</div><div class="memdoc">
 
<p>If more displays needs to be fed change disp_sel to vector with length equal to number of displays. Use principles of the standard dynamic indication: provide data then enable the displays sequentially. If brightness control is desired just AND the selector and the PWM controller output. </p>
 
 
 
</div>
</div>
</div>
</div>
<hr/>The documentation for this class was generated from the following file:<ul>
<hr/>The documentation for this class was generated from the following file:<ul>
<li>C:/Projects/single-14-segment-display-driver-w-decoder/Project/Sources/<a class="el" href="_display_driverw_decoder___top_8vhd.html">DisplayDriverwDecoder_Top.vhd</a></li>
<li>C:/Projects/single-14-segment-display-driver-w-decoder/Project/Sources/<a class="el" href="display__driver__w__decoder_8vhd.html">display_driver_w_decoder.vhd</a></li>
</ul>
</ul>
</div><!-- contents -->
</div><!-- contents -->
<!-- start footer part -->
<!-- start footer part -->
<hr class="footer"/><address class="footer"><small>
<hr class="footer"/><address class="footer"><small>
Generated by &#160;<a href="http://www.doxygen.org/index.html">
Generated by &#160;<a href="http://www.doxygen.org/index.html">

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.