OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [opencores.org/] [io/] [ip/] [io_ps2/] [rtl/] [xml/] [io_ps2_def.xml] - Diff between revs 134 and 135

Show entire file | Details | Blame | View Log

Rev 134 Rev 135
Line 25... Line 25...
//   You should have received a copy of the GNU Lesser General            //
//   You should have received a copy of the GNU Lesser General            //
//   Public License along with this source; if not, download it           //
//   Public License along with this source; if not, download it           //
//   from http://www.opencores.org/lgpl.shtml                             //
//   from http://www.opencores.org/lgpl.shtml                             //
//                                                                        //
//                                                                        //
-->
-->
 
 
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
 
opencores.org
opencores.org
io
io
io_ps2
io_ps2
def  default
def
 
 
 
 
 
 
 
 
 slave_clk
 slave_clk
  
  
  
  
  
      
    
  
      
    
        clk
      
        clk
        clk
      
        clk
    
      
 
    
 
        
 
      
 
  
 
 
 
 
 
 
 slave_reset
 slave_reset
  
  
  
 
  
      
    
  
      
    
        reset
      
        reset
        reset
      
        reset
    
      
 
    
 
      
 
      
 
  
 
 
 
 
 
 
mb
mb
   
   
   
 
   little
      
   8
   
     
     
     
        
        
         rdata
         rdata
         
         
         rdata
         rdata
           70
           wire
         
           70
       
         
 
       
 
 
 
        
        
         addr
         addr
         
         
         addr
         addr
           30
           30
         
         
       
       
 
 
 
 
        
        
         wdata
         wdata
         
         
         wdata
         wdata
           70
           70
         
         
       
       
 
 
 
 
        
        
         rd
         rd
         
         
         rd
         rd
         
         
       
       
 
 
        
        
         wr
         wr
         
         
         wr
         wr
         
         
       
       
 
 
        
        
         cs
         cs
         
         
         cs
         cs
         
         
       
       
 
 
      
      
  
 
 
 
 
        
 
      
 
 
 
   little
 
   8
 
     
 
  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
  gen_registers
 
  102.1
 
  common
 
  none
 
  ./tools/regtool/gen_registers
 
    
 
    
 
      bus_intf
 
      mb
 
    
 
    
 
      dest_dir
 
      ../verilog
 
    
 
  
 
 
 
 
 
 
 
 
 
 
  gen_registers
 
  102.1
 
  :*common:*
 
  none
 
  tools/regtool/gen_registers
 
    
 
    
 
      bus_intf
 
      mb
 
    
 
    
 
      dest_dir
 
      ../verilog
 
    
 
  
 
 
 
 
 
 
  gen_verilog
 
  104.0
 
  none
 
  common
 
  ./tools/verilog/gen_verilog
 
  
 
    
 
      destination
 
      io_ps2_def
 
    
 
  
 
 
 
 
 
 
 
 
 
 
  gen_verilog
 
  104.0
 
  none
 
  :*common:*
 
  tools/verilog/gen_verilog
 
  
 
    
 
      destination
 
      io_ps2_def
 
    
 
  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
  
 
 
 
    
 
      fs-common
 
 
 
      
  
        
 
        ../verilog/top.body
 
        verilogSourcefragment
 
      
 
 
 
    
    
 
      fs-common
 
 
 
      
 
        
 
        ../verilog/top.body
 
        verilogSourcefragment
 
      
 
 
    
    
      fs-sim
 
 
 
      
 
        
 
        ../verilog/copyright.v
 
        verilogSourceinclude
 
      
 
 
 
      
    
        
      fs-sim
        ../verilog/common/io_ps2_def
 
        verilogSourcemodule
 
      
 
 
 
      
      
        mb
        
        ../verilog/io_ps2_def_mb
        ../verilog/copyright.v
        verilogSourcemodule
        verilogSourceinclude
      
      
 
 
 
      
 
        
 
        ../verilog/common/io_ps2_def
 
        verilogSourcemodule
 
      
 
 
 
      
 
        mb
 
        ../verilog/io_ps2_def_mb
 
        verilogSourcemodule
 
      
 
 
    
 
 
 
 
 
 
    
 
 
    
 
      fs-syn
 
 
 
 
 
      
    
        
      fs-syn
        ../verilog/copyright.v
 
        verilogSourceinclude
 
      
 
 
 
      
 
        
 
        ../verilog/common/io_ps2_def
 
        verilogSourcemodule
 
      
 
 
 
      
      
        mb
        
        ../verilog/io_ps2_def_mb
        ../verilog/copyright.v
        verilogSourcemodule
        verilogSourceinclude
      
      
 
 
 
      
 
        
 
        ../verilog/common/io_ps2_def
 
        verilogSourcemodule
 
      
 
 
 
      
 
        mb
 
        ../verilog/io_ps2_def_mb
 
        verilogSourcemodule
 
      
 
 
 
 
 
 
    
 
 
 
 
 
 
    
 
 
 
 
  
 
 
 
 
 
 
  
 
 
 
 
 
 
 
 
       
 
 
 
              
 
              Hierarchical
 
 
 
              
  
                                   spirit:library="io"
 
                                   spirit:name="io_ps2"
 
                                   spirit:version="def.design"/>
 
              
 
 
 
 
 
              
                
              verilog
                        
              
                                Hierarchical
              
                                
                                   spirit:library="Testbench"
                        
                                   spirit:name="toolflow"
                
                                   spirit:version="verilog"/>
 
              
 
              
 
 
 
 
 
 
 
 
 
 
       
 
 
              
              
              commoncommon
              Hierarchical
              Verilog
               Hierarchical
              
 
                     
 
                            fs-common
 
                     
 
              
 
 
 
              
              
              sim:*Simulation:*
 
              Verilog
 
              
 
                     
 
                            fs-sim
 
                     
 
              
 
 
 
 
 
              
              
              syn:*Synthesis:*
              verilog
              Verilog
              
              
              
                     
                                   ipxact:library="Testbench"
                            fs-syn
                                   ipxact:name="toolflow"
                     
                                   ipxact:version="verilog"/>
              
              
 
              
 
 
 
 
              
 
              doc
 
              
 
              
 
                                   spirit:library="Testbench"
 
                                   spirit:name="toolflow"
 
                                   spirit:version="documentation"/>
 
              
 
              :*Documentation:*
 
              Verilog
 
              
 
 
 
 
 
 
 
      
              
 
              common:*common:*
 
              Verilog
 
              
 
                     
 
                            fs-common
 
                     
 
              
 
 
 
              
 
              sim:*Simulation:*
 
              Verilog
 
              
 
                     
 
                            fs-sim
 
                     
 
              
 
 
 
 
 
              
 
              syn:*Synthesis:*
 
              Verilog
 
              
 
                     
 
                            fs-syn
 
                     
 
              
 
 
 
 
 
              
 
              doc
 
              
 
              
 
                                   ipxact:library="Testbench"
 
                                   ipxact:name="toolflow"
 
                                   ipxact:version="documentation"/>
 
              
 
              :*Documentation:*
 
              Verilog
 
              
 
 
 
 
enable
 
wire
 
in
 
 
 
 
 
 
      
 
 
 
 
rcv_data_avail
 
wire
 
out
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
enable
 
wire
 
in
 
 
 
 
 
 
 
clk
 
wire
 
in
 
 
 
 
 
 
 
reset
 
wire
 mb
in
8
 
 
 
 mb
 
 0x00
 
 
 
  
 
  mb_microbus
 
  0x10
 
  8
 
 
 
 
cs
 
wire
 
in
 
 
 
 
 
 
   ps2_data
 
   0x0
 
   8
 
   read-only
 
  
 
 
 
 
rd
   wdata_buf
wire
   0x0
in
   8
 
   write-only
 
  
 
 
 
 
wr
   status
wire
   0x2
in
   8
 
   read-only
 
  
 
 
 
 
 
   cntrl
 
   0x4
 
   8
 
   read-write
 
  
 
 
 
 
addr
 
wire
 
in
 
30
 
 
 
 
 
 
 
wdata
 
wire
 
in
 
70
 
 
 
 
  
 
 
 
 
rdata
 
wire
 
out
 
70
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
rcv_data_avail
 
wire
 
out
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 mb
 
8
 
 
 
 mb
 
 0x00
 
 
 
  
 
  mb_microbus
 
  0x10
 
  8
 
 
 
 
 
 
 
   ps2_data
 
   0x0
 
   8
 
   read-only
 
  
 
 
 
 
 
   wdata_buf
 
   0x0
 
   8
 
   write-only
 
  
 
 
 
 
 
   status
 
   0x2
 
   8
 
   read-only
 
  
 
 
 
 
 
   cntrl
 
   0x4
 
   8
 
   read-write
 
  
 
 
 
 
 
 
 
 
 
  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.