OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [Testbench/] [bfms/] [clock_gen/] [rtl/] [xml/] [clock_gen_def.xml] - Diff between revs 134 and 135

Show entire file | Details | Blame | View Log

Rev 134 Rev 135
Line 25... Line 25...
//   You should have received a copy of the GNU Lesser General            //
//   You should have received a copy of the GNU Lesser General            //
//   Public License along with this source; if not, download it           //
//   Public License along with this source; if not, download it           //
//   from http://www.opencores.org/lgpl.shtml                             //
//   from http://www.opencores.org/lgpl.shtml                             //
//                                                                        //
//                                                                        //
-->
-->
 
 
xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009"
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
xmlns:socgen="http://opencores.org"
xmlns:socgen="http://opencores.org"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd">
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
 
 
opencores.org
opencores.org
Testbench
Testbench
clock_gen
clock_gen
def  default
def
 
 
 
 
 
 
 
 
 
 
 
 
 master_clk
 master_clk
  
  
  
 
  
 
    
 
      
 
        clk
 
        clk
 
wire
 
 
 
      
 
 
 
    
 
 
 
 
 
 
  
 
      
 
  
 
 
 
 
 
    
 
      
 
        clk
 
        clk
 
wire
 
 
 
      
 
 
 master_reset
    
  
      
  
      
  
 
    
 
      
 
        reset
 
        reset
 
reg
 
 
 
      
 
    
 
 
 
 
 
 
 
 
 
 
  
 
  
 
 
 
 
 
 
 
 
 
 
 
 master_reset
 
  
 
 
 
 
 
  
  gen_verilog_sim
      
  104.0
        
  none
 
  :*Simulation:*
 
  ./tools/verilog/gen_verilog
 
  
 
    
 
      destination
 
      clock_gen_def
 
    
 
  
 
 
 
 
 
 
 
 
 
  gen_verilog_syn
 
  104.0
 
  none
 
  :*Synthesis:*
 
  ./tools/verilog/gen_verilog
 
  
 
    
 
      destination
 
      clock_gen_def
 
    
 
  
 
 
 
 
 
 
    
 
      
 
        reset
 
        reset
 
reg
 
 
 
      
 
    
 
 
 
 
 
      
 
      
 
 
 
 
 
  
 
  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
  gen_verilog_sim
 
  104.0
 
  none
 
  :*Simulation:*
 
  tools/verilog/gen_verilog
 
  
 
    
 
      destination
 
      clock_gen_def
 
    
 
  
 
 
 
 
 
 
 
 
 
  gen_verilog_syn
 
  104.0
 
  none
 
  :*Synthesis:*
 
  tools/verilog/gen_verilog
 
  
 
    
 
      destination
 
      clock_gen_def
 
    
 
  
 
 
 
 
 
 
       
 
 
 
 
 
             
 
              verilog
 
              
 
              
 
                                   spirit:library="Testbench"
 
                                   spirit:name="toolflow"
 
                                   spirit:version="verilog"/>
 
              
 
              
 
 
 
 
 
 
 
              
 
              sim:*Simulation:*
 
              Verilog
 
              
 
                     
 
                            fs-sim
 
                     
 
              
 
 
 
              
 
              syn:*Synthesis:*
 
              Verilog
 
              
 
                     
 
                            fs-syn
 
                     
 
              
 
 
 
              
 
              doc
 
              
 
              
 
                                   spirit:library="Testbench"
 
                                   spirit:name="toolflow"
 
                                   spirit:version="documentation"/>
 
              
 
              :*Documentation:*
 
              Verilog
 
              
 
 
 
 
 
      
 
 
 
  
 
 
 
 STOP_WIDTH1
 
 BAD_WIDTH1
 
 
 
 
 
 
 
 
 
 
 
START
 
wire
 
in
 
 
 
 
 
STOP
 
wire
 
inSTOP_WIDTH-10
 
 
 
 
 
BAD
 
wire
 
inBAD_WIDTH-10
 
 
 
 
 
FAIL
 
reg
 
out
 
 
 
 
 
 
 
FINISH
 
reg
 
out
 
 
 
 
 
 
 
 
 
 
 
 
                
 
                        
 
                                verilog
 
                                verilog
 
                                clock_gen_def
 
                                
 
                                        
 
                                                STOP_WIDTH
 
                                                1
 
                                        
 
                                
 
                                                BAD_WIDTH
 
                                                1
 
                                        
 
                                
 
                                
 
                                        fs-sim
 
                                
 
                        
 
                
 
 
 
 
 
 
 
 
 
 
 
 
 
  
 
 
 
 
 
 
 
                        
 
                                rtl
 
                                verilog:Kactus2:
 
                                verilog
 
                        
 
             
 
              verilog
 
              
 
              
 
                                   ipxact:library="Testbench"
 
                                   ipxact:name="toolflow"
 
                                   ipxact:version="verilog"/>
 
              
 
              
 
 
   
 
      fs-common
 
 
 
 
 
 
              
 
              sim:*Simulation:*
 
              Verilog
 
              
 
                     
 
                            fs-sim
 
                     
 
              
 
 
 
              
 
              syn:*Synthesis:*
 
              Verilog
 
              
 
                     
 
                            fs-syn
 
                     
 
              
 
 
   
              
 
              doc
 
              
 
              
 
                                   ipxact:library="Testbench"
 
                                   ipxact:name="toolflow"
 
                                   ipxact:version="documentation"/>
 
              
 
              :*Documentation:*
 
              Verilog
 
              
 
 
 
 
  
      
      fs-sim
 
 
 
      
  
        
 
        ../verilog/clock_gen_sim
 
        verilogSourcefragment
 
      
 
 
 
 
 STOP_WIDTH1
 
 BAD_WIDTH1
 
 
 
 
      
 
        
 
        ../verilog/copyright
 
        verilogSourceinclude
 
      
 
 
 
     
 
        
 
        ../verilog/sim/clock_gen_def
 
        verilogSourcemodule
 
      
 
 
 
 
 
      
clk
        dest_dir
 
        ../views/sim/
wire
        verilogSourcelibraryDir
in
      
 
 
 
    
 
 
 
 
reset
 
 
 
reg
 
out
 
 
 
 
    
 
    fs-syn
 
 
 
      
START
        
 
        ../verilog/clock_gen_syn
wire
        verilogSourcefragment
in
      
 
 
 
 
STOP
 
 
 
wire
 
inSTOP_WIDTH-10
 
 
 
 
      
BAD
        
 
        ../verilog/copyright
wire
        verilogSourceinclude
inBAD_WIDTH-10
      
 
 
 
     
FAIL
        
 
        ../verilog/syn/clock_gen_def
reg
        verilogSourcemodule
out
      
 
 
 
 
 
     
FINISH
        dest_dir
 
        ../views/syn/
reg
        verilogSourcelibraryDir
out
      
 
 
 
    
 
 
 
  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
   
 
      fs-common
 
 
 
 
 
 
 
 
 
   
 
 
 
 
 
  
 
      fs-sim
 
 
 
      
 
        
 
        ../verilog/clock_gen_sim
 
        verilogSourcefragment
 
      
 
 
 
 
 
      
 
        
 
        ../verilog/copyright
 
        verilogSourceinclude
 
      
 
 
 
     
 
        
 
        ../verilog/sim/clock_gen_def
 
        verilogSourcemodule
 
      
 
 
 
 
 
      
 
        dest_dir
 
        ../views/sim/
 
        verilogSourcelibraryDir
 
      
 
 
 
    
 
 
 
 
 
    
 
    fs-syn
 
 
 
      
 
        
 
        ../verilog/clock_gen_syn
 
        verilogSourcefragment
 
      
 
 
 
 
 
      
 
        
 
        ../verilog/copyright
 
        verilogSourceinclude
 
      
 
 
 
     
 
        
 
        ../verilog/syn/clock_gen_def
 
        verilogSourcemodule
 
      
 
 
 
 
 
     
 
        dest_dir
 
        ../views/syn/
 
        verilogSourcelibraryDir
 
      
 
 
 
    
 
 
 
  
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.