OpenCores
URL https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk

Subversion Repositories spacewire_light

[/] [spacewire_light/] [trunk/] [README.txt] - Diff between revs 4 and 5

Go to most recent revision | Only display areas with differences | Details | Blame | View Log

Rev 4 Rev 5
SpaceWire Light
SpaceWire Light
===============
===============
Copyright 2009-2010 Joris van Rantwijk
Copyright 2009-2010 Joris van Rantwijk
 
 
SpaceWire Light is free software; you can redistribute it and/or
SpaceWire Light is free software; you can redistribute it and/or modify
modify it under the terms of the GNU Lesser General Public License
it under the terms of the GNU General Public License as published by
as published by the Free Software Foundation; either version 2.1
the Free Software Foundation; either version 2 of the License, or
of the License, or (at your option) any later version.
(at your option) any later version.
 
 
SpaceWire Light is distributed in the hope that it will be useful,
SpaceWire Light is distributed in the hope that it will be useful,
but WITHOUT ANY WARRANTY; without even the implied warranty of
but WITHOUT ANY WARRANTY; without even the implied warranty of
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
GNU Lesser General Public License for more details.
GNU General Public License for more details.
 
 
You should have received a copy of the GNU Lesser General Public
You should have received a copy of the GNU General Public License along
License along with the SpaceWire Light package. If not, see
with the SpaceWire Light package. If not, see .
.
 
 
 
Overview
Overview
--------
--------
SpaceWire Light is a SpaceWire encoder-decoder with FIFO interface.
SpaceWire Light is a SpaceWire encoder-decoder with FIFO interface.
It is synthesizable for FPGA targets (up to 200 Mbit on Spartan-3).
It is synthesizable for FPGA targets (up to 200 Mbit on Spartan-3).
The goal is to provide a complete, reliable, fast implementation
The goal is to provide a complete, reliable, fast implementation
of a SpaceWire encoder-decoder according to ECSS-E-50-12C.
of a SpaceWire encoder-decoder according to ECSS-E-50-12C.
The core is "light" in the sense that it does not provide additional
The core is "light" in the sense that it does not provide additional
features such as RMAP, routing etc.
features such as RMAP, routing etc.
See doc/Manual.pdf for more information.
See doc/Manual.pdf for more information.
Future plans:
Future plans:
 * add AMBA bus interface
 * testing and documentation of AMBA bus interface
 * try to run on Virtex
 * redesign fast receiver to improve performance
 
 * add support for Xilinx Virtex platform
 
 
Version history
Version history
---------------
---------------
 
 
 
2010-19-21
 
 * Add AMBA interface (preliminary version, untested, undocumented).
 
 * License changed from LGPL to GPL.
 
 * Again fix an issue with EEP injection on link loss.
 
 * Add DATAPATHONLY keyword to timing constraints.
 
 
2010-09-12
2010-09-12
 * Fixed issue with automatic discarding of TX data after link error.
 * Fixed issue with automatic discarding of TX data after link error.
 * After link error, spwstream will terminate current packet with EEP even
 * After link error, spwstream will terminate current packet with EEP even
   if the linkdisable signal is active.
   if the linkdisable signal is active.
 * Added code comment to clarify a dependency between spwrecv and spwlink.
 * Added code comment to clarify a dependency between spwrecv and spwlink.
   (Thanks to Rafael Corsi Ferrao for reporting this obscurity.)
   (Thanks to Rafael Corsi Ferrao for reporting this obscurity.)
2010-07-12
2010-07-12
 * Added manual.
 * Added manual.
 * Fix incorrect bitrate during link handshake. The system clock frequency
 * Fix incorrect bitrate during link handshake. The system clock frequency
   was used to compute the initial tx clock divider, even when the clock
   was used to compute the initial tx clock divider, even when the clock
   divider would act on the txclk instead of the system clock.
   divider would act on the txclk instead of the system clock.
 * Improve fast transmitter. Sending FCT tokens at high bit rate no longer
 * Improve fast transmitter. Sending FCT tokens at high bit rate no longer
   causes insertion of a NULL token.
   causes insertion of a NULL token.
2010-06-08
2010-06-08
 * Initial release.
 * Initial release.
Contact
Contact
-------
-------
For the latest version of this core, see the OpenCores project page.
For the latest version of this core, see the OpenCores project page.
For more information, comments, suggestions or bug reports, either
For more information, comments, suggestions or bug reports, either
go to the OpenCores project page or email me directly.
go to the OpenCores project page or email me directly.
Project page at OpenCores:
Project page at OpenCores:
  http://opencores.org/project,spacewire_light
  http://opencores.org/project,spacewire_light
Email:
Email:
  jvrantwijk (at) xs4all (dot) nl
  jvrantwijk (at) xs4all (dot) nl
--
--
 
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.