OpenCores
URL https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk

Subversion Repositories spacewire_light

[/] [spacewire_light/] [trunk/] [README.txt] - Diff between revs 6 and 7

Go to most recent revision | Show entire file | Details | Blame | View Log

Rev 6 Rev 7
Line 1... Line 1...
 
 
SpaceWire Light
SpaceWire Light
===============
===============
 
 
Copyright 2009-2010 Joris van Rantwijk
Copyright 2009-2011 Joris van Rantwijk
 
 
SpaceWire Light is free software; you can redistribute it and/or modify
SpaceWire Light is free software; you can redistribute it and/or modify
it under the terms of the GNU General Public License as published by
it under the terms of the GNU General Public License as published by
the Free Software Foundation; either version 2 of the License, or
the Free Software Foundation; either version 2 of the License, or
(at your option) any later version.
(at your option) any later version.
Line 29... Line 29...
The goal is to provide a complete, reliable, fast implementation
The goal is to provide a complete, reliable, fast implementation
of a SpaceWire encoder-decoder according to ECSS-E-ST-50-12C.
of a SpaceWire encoder-decoder according to ECSS-E-ST-50-12C.
The core is "light" in the sense that it does not provide additional
The core is "light" in the sense that it does not provide additional
features such as RMAP, routing etc.
features such as RMAP, routing etc.
 
 
See doc/Manual.pdf for more information.
SpaceWire Light supports two application interfaces. One interface
 
provides FIFO-style access to RX/TX buffers in the core (spwstream).
 
This interface can be easily integrated into most digital designs.
 
 
 
Alternatively, an AMBA bus interface (spwamba) may be used to integrate
 
SpaceWire Light into a LEON3 embedded system. This interface supports
 
DMA-based data transfers. The code for the AMBA interface deponds on GRLIB,
 
a VHDL library from Aeroflex Gaisler. The source of GRLIB must be downloaded
 
separately from http://www.gaisler.com/.
 
 
Future plans:
See doc/Manual.pdf for more information.
 * redesign fast receiver to improve performance
 
 * add support for Xilinx Virtex platform
 
 
 
 
 
Version history
Version history
---------------
---------------
 
 
 
2011-02-11
 
 * spwamba: Add tick_out signal.
 
 * swprecvfront_fast: Simplified data transfer between clock domains.
 
 * Clean up synchronization of data paths between clock domains.
 
 
2010-12-03
2010-12-03
 * Add RTEMS driver and test program for SPWAMBA.
 * Add RTEMS driver and test program for SPWAMBA.
 * Add documentation for SPWAMBA.
 * Add documentation for SPWAMBA.
 * spwamba: Change TX FIFO management; start new transfer when there is room
 * spwamba: Change TX FIFO management; start new transfer when there is room
   for a maximum burst instead of aiming for 3/4 fill rate.
   for a maximum burst instead of aiming for 3/4 fill rate.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.