OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [db/] [ddio_out_uqe.tdf] - Diff between revs 32 and 40

Show entire file | Details | Blame | View Log

Rev 32 Rev 40
Line 1... Line 1...
--altddio_out CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone V" INVERT_OUTPUT="OFF" POWER_UP_HIGH="OFF" WIDTH=1 datain_h datain_l dataout outclock
--altddio_out CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone V" INVERT_OUTPUT="OFF" POWER_UP_HIGH="OFF" WIDTH=1 datain_h datain_l dataout outclock
--VERSION_BEGIN 17.0 cbx_altddio_out 2017:06:01:09:22:16:SJ cbx_cycloneii 2017:06:01:09:22:16:SJ cbx_maxii 2017:06:01:09:22:16:SJ cbx_mgl 2017:06:01:10:52:00:SJ cbx_stratix 2017:06:01:09:22:16:SJ cbx_stratixii 2017:06:01:09:22:16:SJ cbx_stratixiii 2017:06:01:09:22:16:SJ cbx_stratixv 2017:06:01:09:22:16:SJ cbx_util_mgl 2017:06:01:09:22:16:SJ  VERSION_END
--VERSION_BEGIN 17.1 cbx_altddio_out 2017:12:05:11:11:27:SJ cbx_cycloneii 2017:12:05:11:11:27:SJ cbx_maxii 2017:12:05:11:11:27:SJ cbx_mgl 2017:12:05:12:41:31:SJ cbx_stratix 2017:12:05:11:11:27:SJ cbx_stratixii 2017:12:05:11:11:27:SJ cbx_stratixiii 2017:12:05:11:11:27:SJ cbx_stratixv 2017:12:05:11:11:27:SJ cbx_util_mgl 2017:12:05:11:11:27:SJ  VERSION_END
 
 
 
 
-- Copyright (C) 2017  Intel Corporation. All rights reserved.
-- Copyright (C) 2017  Intel Corporation. All rights reserved.
--  Your use of Intel Corporation's design tools, logic functions
--  Your use of Intel Corporation's design tools, logic functions
--  and other software and tools, and its AMPP partner logic
--  and other software and tools, and its AMPP partner logic
--  functions, and any output files from any of the foregoing
--  functions, and any output files from any of the foregoing
--  (including device programming or simulation files), and any
--  (including device programming or simulation files), and any
--  associated documentation or information are expressly subject
--  associated documentation or information are expressly subject
--  to the terms and conditions of the Intel Program License
--  to the terms and conditions of the Intel Program License
--  Subscription Agreement, the Intel Quartus Prime License Agreement,
--  Subscription Agreement, the Intel Quartus Prime License Agreement,
--  the Intel MegaCore Function License Agreement, or other
--  the Intel FPGA IP License Agreement, or other applicable license
--  applicable license agreement, including, without limitation,
--  agreement, including, without limitation, that your use is for
--  that your use is for the sole purpose of programming logic
--  the sole purpose of programming logic devices manufactured by
--  devices manufactured by Intel and sold by Intel or its
--  Intel and sold by Intel or its authorized distributors.  Please
--  authorized distributors.  Please refer to the applicable
--  refer to the applicable agreement for further details.
--  agreement for further details.
 
 
 
 
 
FUNCTION cyclonev_ddio_out (areset, clk, clkhi, clklo, datainhi, datainlo, ena, hrbypass, muxsel, sreset)
FUNCTION cyclonev_ddio_out (areset, clk, clkhi, clklo, datainhi, datainlo, ena, hrbypass, muxsel, sreset)
WITH ( async_mode, half_rate_mode, power_up, sync_mode, use_new_clocking_model)
WITH ( async_mode, half_rate_mode, power_up, sync_mode, use_new_clocking_model)
RETURNS ( dataout);
RETURNS ( dataout);

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.